More annual reports from Lam Research:
2023 ReportPeers and competitors of Lam Research:
ACM ResearchA N N U A L R E P O R T 2 0 2 0 Since 1980, we’ve unlocked new ways for the world to make progress. From today’s smart devices to tomorrow’s autonomous world, our semiconductor breakthroughs are setting the pace for the future and changing reality at its core. And for us, that’s just the beginning. Revenue (Billions) $12.00 $10.00 $8.00 $6.00 $4.00 $2.00 $0.00 $1,400 $1,200 $1,000 $800 $600 $400 $200 $0 $16.00 $14.00 $12.00 $10.00 $8.00 $6.00 $4.00 $2.00 $0.00 FY'16 FY'17 FY'18 FY'19 FY'20 R&D Spend (GAAP) (Millions) FY'16 FY'17 FY'18 FY'19 FY'20 Earnings per Share (GAAP, diluted) FY'16 FY'17 FY'18 FY'19 FY'20 LETTER TO OUR STOCKHOLDERS Deeaarraa LLamma SSStot ckckhoholdders, 202 20200 iiiisss a sspspececial yeyearar fforor the CComompanyy as wee ccelelebebraratete oourur 440t0thh ananniversary.y SSini ce 1980, Lamm RRese eaearcchh hahas s plplp ayedd aaaa kkeyey rolole e in contribbutu inngg to the extraaorordidinanaryry ppacace e ofo ssememici ononductctoror iinnnnovo ata ioi n anandd prprogogresss .. OuO r r suuccccessss toodaday y comem s s frf omm aan n accucumumulalatitionon oof f ininveveststmementnts s mamadede aandnd expperience gained over the papasts four ddecacacadedededess. AAs aa reresusultlt, Lam Reeseseaarchh hhasas grorownwn stronger acacroossss iindndusustry cyclcles, and this fiscal yeearr ddeelivverred solidd fiinnaancn iaal l pepeperfr orormamance with revenues of $10.0 billion and record earnings per dilutututu ede sharee off $15.101 . The riririr sese oooff thttht e e global data economy is driving greater opportunity for Lam. AtA thehe samme time, thththeeee unununununnprprprprpp ecececedededddenene teteteedddddd challenngngees of ththee COOVIVID-D 19 pandemic havee rreie nfnforced the role tthah t teecchnonoloogygy pplaysys in keepe inng g pepepeopopopopopoplele connecteteted,d, enabllining businessess tto o reremamain productive,e, and aaccccelereratatining g sosolulutiionons tto complex problemememmms.s Theseee developmmmenene ts unddderersccororee ththee vavaluluee ofof tthehe ccriir ticacal techhchnoologiesess wwee dedelilivev r. WWee hahavee worked diligenttnttlylylyly ooovever the course oof thhhhe e papandndndndeemme icic tttto o oo mememmemmeetetetetetett cccccususususstotototototomemememmem rsrsrrs’ neneneneeedede ss anand perform essentiiall work inn ouour r fafaciciccc lilititieses. WeWeWeeWee hhhhhavave also responded quuuq icickly anandd efeffefefffectctc ivivivvelelyy toto sssupu popoportrttt ooooururururrrr pppppppeoee ple and provoviddeee reerelilief tto ouur r coommmununnnittititttieieies.s It issis dddddueue tttoo thththhheeee partnerships wwwithh ouur rr cuccuc ststs omo ers and supplieeerereree s s anannandd to the achieeevevemmmementtn sss s anananddd dededidicatiion of f ououour employeeess thththatatatat Lam ReR ses arch iisss s prproducucinninggg remarkaba le resessssessulululululululltstststststs tthrouugh tthese extxtxtraraaaaorordidiinnnaaaaryryrry tttimimimesese . AsAs we lookok forwaarddd,, ele ecccctrtrtrtroonoonics araree beeiing intetegrgrg atattttededededdddee iiiintntoo o neeeeeearararaaraa lylylyly eeeveryy ppart of oour lives. With each geneneeerararar titiitit ononoo ooooff ff f ded viceces coomemem s ss grgrgreaeaeatetet r r deedemamamamanddndsss fofoforrr cococ mmputee popooweweer,r, sstotototot raaaaggegege cccapapacacitity,y, and faster connectit vity. Lam’m sss prprprprp ododododuucucuuctststs annannddd ses rvicici eses aarerere fffoouououndnddndattatioionanall tooto eenablbliing g sesemimmicocondnducuctotorr adadvavanncese and mananaging increasingly comommplplplplplexexexexexex fafabrb icatatioioion n pprprococesesessssesses.s. TTThehhehe ttecechnologgiciccalalal ddififfeferentiation of our sosolutiono s coc mbinededd wwwwwitititthhhh ouououur r fofocucus s onononn hhhhhhelelele pipipip ngngngg cucuccustssttomomomomereere ss aadaddrrdresese s s thththeieeie r r momom stst ddifffif ccuultlt cchaalllleenges positions us to outperform oourur mmararkkeket t asasa tthehe rrelelevevee annana cececce oooff sesemimicocondnducuctoorrsr to the glgllobobo al eecocononomymy coontinuess tto climb.b. InInn ooooururur dddepepepososositititioioionnn aanananddd ettetchchc bbusuusininesssseses, we continue to deliver enabling ttecechnnolo ogogy y fof rr kekey y device roadmap inninflf ecectitionons. OOurur eenhn ana ced atoommiicc llaya er deposition (ALD) solutions are accceleraatitingn tthehe mmigi rar tion of legacy prprprocococesesessesesess s ononontottot LLamamam’ss mmorororeee eexexteendable, high productivity y plp atformrms,s, wwitithh nenew ww mam terir ala s annd processes depoositit ngng the hhigh-quualalittyy fifilmms requuired by today’s dedeviviceces.s. IIInn adadaddididitititiononn,, ououo rr lalateteststs pproroduducts s ara e incorporating advaancnceded mmacachhinee intntelligeencnce, ddata analysis, and seelflf-m-maiaia ntntntenenenananancecece cccapapapababa ililitittieiess toto ppproror vividede sstatatete-of-the-e-arart sysyststemems s wiwiwiththth ggrereaterr pproduuctivity y and reereeerepepepepepepp atattttttabababababababaabbilililliii ititty.y. EEEspspspspsppecececcecece iaiaiaiaaialllllly y yyy y y nonononootetetttewowowortrthyhyy iss ouour r inintrodododuction earlilierr thihiss yearar ofof oourur gggggrororororouunnndbbbbbrreer aka inng nenew w etchh pplaatftfforrm,mmmm Seneneeneneneneneee sesesessesese.i. ™.™. WWWWWititititi hhhhh unununnpapapapapapaaarararaaraarr lllllllleeleleleleeeee eeedeedddd ssssenenennsisisiisisssingngnngngngg aaaaandndd mmmmmonnoo ititoring g fefeatures, it hhasas thtthhhtthhtheeeee momoomoooststststt iiinnntn elliiigegg ntn ssysy tem arrchhchitecectureeee in tttththhhhe eee ininindududuud sttstststtryryryry,,, ofofofofoffefefeeerriririr ngngng aaaututtututuuuttu ooononnnnnonnomomoomomomomououououoo ss cac lil brbrbbb atation and d mainteenancce cccacaaapapaaaaapapabbbbbibibibilliililititititttt eeesess thaaaaat tt cococ nntn ribubutete iincncn rereasaseded oooouututtututpuuutttttt ananananananna d dd d ddd efefeffeffifiifificicicicieneneenccycy in ouour r cucucustststomomerers’s’ fffffabababaaaa s.sss OOOOOOuOur r cocommmmitmemementnt ttttoo cucucucussstts omomers reququq ireses nnotot jjusust t ininnonovavatititiveve nnewe ssysysysysyyy tteeeeeeemsssmsmmss tttttttttececchnhnolollogoggy,y,,,,, bbbbbbbbbbbbututtuttuuttt aaaaaalsslll o oooo iinninnnnnonononononon vavavavavavatititiveveeeveve sssserrerere vivivivivicececececc ofoffffffefeffefefeririir ngngngss.s. LLamamm’sss CCCuususstottomemer SuSSSupppporort tt BuBusisineness Groupp ((CSCSBGBB ) ensureeeeees wowowowowow rllr ddd-d-ddd class perfrffrfffffforororororooororororororooo mmamammam nnncccnccncee aaacacacrrrorror ss ouruu insnstatalllededed babababbbabaassesesesessse oooooof ff tottootoolls s tht rougghohohouututu tthehee eentntiriree prprprproddododdoducucucucucu t tt tt lilifefeecycyyyyclllccclcle.e.eee.e. WWWWWeeeee hahhahahaveveveve ooooveveveveveeeeeeer 666660606060600606606 00,0,000 Lam chhahaaahaahhahaaaambmbmbmbmbmbmbmbbmbmbmmbm eerererrrrs ssss iinn ooooouuuurrrurruu iinsnnsn tatallledededed bbbbbbasasasase,e,ee,e,e, geeeneeerararatiiitingngngg dddememana d fooor sps aresesesess, sesesseservrvrvrvr icicicicesesesesee ,,,, upupupggraddesesesesesese ,,,,, ananananaana ddddd rerefuurbrbisshmmhmmmmhmhmmmeeeennent.tt. CCSBS G dedelililililil veveveeeeveersrsssrrsrsrsrrsrrrrrrs ssssssssssssssololooloo ututututtttuuttuu ioiiooooiioonnnnsns aaaaa dndndnd services tht att heh lp our ccusustotomeemersrsrs mmmaximizizzee ththththt eee rerererretutututurnrnrnnrn oooon n thththeieir r ininnvevev ststtsttsts mememememmm ntntntnnt iiiiiin n n LaLaLamm eqequiuipmp ent. In reretututuutuurnrrrrrrrnrn, tthththt e revenun e deririveved d * A A* A copypy copy ofof our our CSRCSR repoepop rt ccrt crt can baan ban e foe fof undundu at wwa wwwww.lw amresearch.com from our installed base business provides Lam with a strong foundation for stability and growth. Given the rising importance of CSBG to Lam’s performance, we have increased our disclosure for this business and began providing revenue information in our financial filings beginning in calendar year 2020 . Innovation requires investment, and from a use-of-cash perspective, our top priority has been to fund the growth of our business. We spent $1.3 billion in research and development in fiscal 2020, representing approximately 65% of operating expenses. Even with high levels of investment in our technology roadmap, we have been able to enhance value creation for our stockholders with a strong capital return program. Our stated plan is to return 75 to 100% of Lam’s free cash flow in the near term. In the 2020 fiscal year, we performed in line with this plan by repurchasing $1.4 billion in shares and paying $657 million in dividends. We deliver our strong financial and operational results within the framework of the Corporate Social Responsibility (CSR) commitments we make to our stakeholders. Our CSR strategy is composed of six key pillars: Business and Governance, Our Communities, Products and Customers, Responsible Supply Chain, Sustainable Operations, and Our Workplace. In 2020 we published our sixth annual CSR Report, where we outlined our accomplishments across these pillars, including expansion of our inclusion and diversity program, enhanced governance within our supply chain, achievement of our 2020 environmental goals, incorporation of energy- efficient features into our systems, and donations and service to our communities. We continue to build on our CSR initiatives as we believe that responsible strategies are fundamental to delivering better overall results, advancing the industry, and empowering progress. We are excited about the opportunities for sustainable growth for Lam and are squarely focused on outperforming the markets we serve. Notwithstanding the near-term uncertainties brought about by the COVID-19 pandemic, it is truly an outstanding time to be part of the vibrant semiconductor industry. Thank you to our customers, employees, and suppliers for their commitment to Lam’s ongoing success and to our stockholders for your valued support. We have delivered 40 years of innovation, and we are just getting started. Sincerely, Timothy M. Archer President and Chief Executive Officer Abhijit Y. Talwalkar Chairman of the Board September 8, 2020 INDEPENDENT REGISTERED PUBLIC ACCOUNTING FIRM Ernst & Young LLP San Jose, California TRANSFER AGENT AND REGISTRAR For a response to questions regarding misplaced stock certificates, changes of address, or the consolidation of accounts, please contact the Company’s transfer agent. Computershare Investor Services P.O. BOX 505000 Louisville, Kentucky 40233-5000 1-877-265-2630 Private Couriers/Registered Mail: Computershare Investor Services 462 South 4th Street, Suite 1600 Louisville, Kentucky 40202 TDD for Hearing Impaired: 1-800-952-9245 Foreign Stockholders: 1-201-680-6578 Website Address: www.computershare.com/investor STOCK LISTING The Company’s common stock is traded on the Nasdaq Global Select MarketSM under the symbol LRCX. Lam Research Corporation is a Nasdaq-100 Index® and S&P 500® company. INVESTOR RELATIONS Lam Research Corporation welcomes inquiries from its stockholders and other interested investors. For additional copies of this report or other financial information, please contact: Investor Relations Lam Research Corporation 4650 Cushing Parkway Fremont, California 94538 1-510-572-1615 investor.relations@lamresearch.com ANNUAL MEETING The Annual Meeting of Stockholders will be held at 2:00 p.m. Pacific Standard Time on Tuesday, November 3, 2020. CAUTIONARY STATEMENT REGARDING FORWARD-LOOKING STATEMENTS With the exception of historical facts, the statements contained in the Letter to Our Stockholders (“Letter”) and this Annual Report (“Report”) are forward-looking statements. Forward-looking statements are subject to the safe harbor provisions created by the Private Securities Litigation Reform Act of 1995. Certain, but not all, of the forward-looking statements in the Letter and Report are specifically identified as forward-looking by the use of words and phrases such as “aim,” “anticipate,” “believe,” “commitment,” “continue,” “could,” “expect,” “future,” “goal,” “intend,” “may,” “opportunities,” “plan,” “should,” “vision,” “will,” and “would.” However, our identification of certain statements as forward-looking does not mean that other statements not specifically identified are not forward-looking. Forward-looking statements include, but are not limited to, statements that relate to: our role in and contributions to semiconductor innovation and progress; expectations for the global data economy and opportunities for Lam; the role of technology in connecting people, enabling business productivity, and accelerating solutions to complex problems; our ability to produce results; the role of electronics; the demands created by subsequent generations of devices; the role of our products in enabling semiconductor advances and managing increasingly complex fabrication processes; our ability to outperform our market; the relevance of semiconductors to the global economy; our ability to deliver enabling technology for key device roadmap inflections; the ability of our solutions to accelerate the migration of legacy processes onto our platforms; the performance and extendibility of our platforms and systems; the ability of our systems to contribute increased output and efficiency in our customers’ fabs; our commitment to customers; our ability to ensure the performance of our installed base of tools throughout the product lifecycle; the ability of the installed base to generate demand; the benefits of our solutions and services to our customers; the stability and growth provided by the revenue derived from our installed base business; the importance of our Customer Support Business Group to our performance; our priorities from a use-of-cash perspective; our plans for our capital return program; our ability to deliver strong financial and operational results; our corporate social responsibility commitments and initiatives and our ability to make progress in those areas; opportunities for growth; our focus on outperforming the markets we serve; the health of the semiconductor industry; the commitment of our customers, employees, and suppliers to our on-going success; and our ability to continue to deliver innovation. Such statements are based on current expectations and are subject to risks, uncertainties, and changes in condition, significance, value and effect. Some factors that may affect these forward-looking statements include: the severity, magnitude and duration of the COVID–19 pandemic (and the related governmental, public health, business and community responses to it), and their impacts on our business, results of operations and financial condition, are evolving and are highly uncertain and unpredictable; business, political and/or regulatory conditions in the consumer electronics industry, the semiconductor industry and the overall economy may deteriorate or change; the actions of our customers and competitors may be inconsistent with our expectations; and widespread outbreaks of illness may impact our operations and revenue in affected areas; as well as the other risks and uncertainties discussed under the headings “Risk Factors” and “Cautionary Statement Regarding Forward-Looking Statements” within Item 1A and at the beginning of Part I, respectively, of our fiscal year 2020 Annual Report on Form 10-K; and other documents we file from time to time with the Securities and Exchange Commission, such as our quarterly reports on Form 10-Q and current reports on Form 8-K. Such risks, uncertainties and changes in condition, significance, value and effect could cause our actual results to differ materially from those expressed in this Letter and Report and in ways that are not readily foreseeable. Readers are cautioned not to place undue reliance on these forward-looking statements, which speak only as of the date of the Letter and Report and are based on information currently and reasonably known to us. We do not undertake any obligation to update any forward-looking statements, or to release the results of any revisions to these forward-looking statements, to reflect the impact of anticipated or unanticipated events or circumstances that occur after the date of the Letter and Report. TRADEMARK INFORMATION The Lam Research logo, Lam Research, and all Lam Research product and service names used herein are either registered trademarks or trademarks of Lam Research Corporation or its subsidiaries in the United States and/or other countries. All other marks mentioned herein are the property of their respective holders. September 23, 2020 Dear Lam Research Stockholders, We cordially invite you to attend the Lam Research Corporation 2020 Annual Meeting of Stockholders. The annual meeting will be held on Tuesday, November 3, 2020, at 2:00 p.m. Pacific Standard Time. This year’s annual meeting will be a virtual meeting. You may attend the annual meeting, vote, and submit your questions during the live webcast of the annual meeting by visiting www.virtualshareholdermeeting.com/LRCX2020 and entering the 16-digit control number included in our Notice of Internet Availability or on your proxy card. At this year’s annual meeting, stockholders will be asked to elect the nine nominees named in the attached proxy statement as directors to serve until the next annual meeting of stockholders, and until their respective successors are elected and qualified; to cast an advisory vote to approve our named executive officer compensation, or “Say on Pay”; and to ratify the appointment of Ernst & Young LLP as our independent registered public accounting firm for fiscal year 2021. The Board of Directors recommends that you vote in favor of each director nominee and each of these proposals. Management will not provide a business update during this meeting; please refer to our latest quarterly earnings report for our most recently-provided outlook. Please refer to the proxy statement for detailed information about the annual meeting, each director nominee, and each of the proposals, as well as voting instructions. Your vote is important, and we strongly urge you to cast your vote as soon as possible by the internet, telephone, or mail, even if you plan to attend the meeting. Sincerely yours, Abhijit Y. Talwalkar Chairman of the Board Notice of 2020 Annual Meeting of Stockholders Meeting Information Items of Business 4650 Cushing Parkway Fremont, California 94538 Telephone: 510-572-0200 Category Date and Time Details Tuesday, November 3, 2020 2:00 p.m. Pacific Standard Time Place Record Date Via the Internet at www.virtualshareholdermeeting.com/ LRCX2020 Only stockholders of record at the close of business on September 4, 2020, the “Record Date,” are entitled to notice of, and to vote at, the annual meeting. Proxy and Annual Report Materials IMPORTANT NOTICE REGARDING THE AVAILABILITY OF PROXY MATERIALS FOR THE 2020 ANNUAL MEETING OF STOCKHOLDERS TO BE HELD ON NOVEMBER 3, 2020 Our notice of 2020 Annual Meeting of Stockholders, proxy statement, and annual report to stockholders are available on the Lam Research website at https://investor.lamresearch.com. Elect Electronic Delivery - Save Time, Money & Trees As part of our efforts to be an environmentally responsible corporate citizen, we encourage Lam stockholders to voluntarily elect to receive future proxy and annual report materials electronically. • If you are a registered stockholder, please visit https://enroll.icsdelivery.com/lrcx for simple instructions. • If you are a stockholder who owns stock through a broker or brokerage account, please opt for e-delivery at https://enroll.icsdelivery.com/lrcx or by contacting your nominee. # Proposal 1. Election of nine directors to serve until the next annual meeting of stockholders, and until their respective successors are elected and qualified Our Board’s Recommendation Í FOR each Director Nominee 2. Advisory vote to approve our named executive officer compensation, or “Say on Pay” Í FOR 3. Ratification of the appointment of Í FOR Ernst & Young LLP as our independent registered public accounting firm for fiscal year 2021 Transaction of such other business as may properly come before the annual meeting (including any adjournment or postponement thereof) Voting Please vote as soon as possible, even if you plan to attend the annual meeting, on all of the voting matters. You have three options for submitting your vote before the annual meeting: by the internet, by telephone, or by mail. The proxy statement and the accompanying proxy card provide detailed voting instructions. IT IS IMPORTANT THAT YOU VOTE to play a part in the future of the Company. Please carefully review the proxy materials for the 2020 Annual Meeting of Stockholders. By Order of the Board of Directors, Date of Distribution This notice, proxy statement and proxy card are first being made available and/or mailed to our stockholders on or about September 23, 2020. Ava M. Hahn Secretary LAM RESEARCH CORPORATION Proxy Statement for 2020 Annual Meeting of Stockholders TABLE OF CONTENTS Governance Matters Proxy Statement Summary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . About Lam Research Corporation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Figure 1. Fiscal Year 2020 Financial Highlights . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Figure 2. Proposals and Voting Recommendations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Figure 3. Summary Information Regarding Director Nominees . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Figure 4. Director Nominee Key Qualifications and Skills Highlights . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Figure 5. Director Nominee Composition Highlights . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Figure 6. Corporate Governance Highlights . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Figure 7. Executive Compensation Highlights . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Stock Ownership . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Security Ownership of Certain Beneficial Owners and Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Delinquent Section 16(a) Reports . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Corporate Governance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Corporate Governance Policies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Our Approach to Ensuring Board Effectiveness . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Board Nomination Policies and Procedures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Director Independence Policies . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Leadership Structure of the Board . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Other Governance Practices . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Meeting Attendance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Board Committees . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Board’s Role and Engagement . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Stockholder Engagement Culture and Human Capital Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Corporate Social Responsibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Director Compensation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Compensation Matters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Executive Compensation and Other Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Compensation Discussion and Analysis (see Table of Contents on page 21) Compensation Committee Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Compensation Committee Interlocks and Insider Participation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Executive Compensation Tables . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . CEO Pay Ratio . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Securities Authorized for Issuance under Equity Compensation Plans . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Audit Committee Report . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Relationship with Independent Registered Public Accounting Firm . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Annual Evaluation and Selection of Independent Registered Public Accounting Firm . . . . . . . . . . . . . . . . . . . Fees Billed by Ernst & Young LLP . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Policy on Audit Committee Pre-Approval of Audit and Non-Audit Services . . . . . . . . . . . . . . . . . . . . . . . . . . . . Certain Relationships and Related Party Transactions . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Voting Proposals . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Proposal No. 1: Election of Directors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2020 Nominees for Director Audit Matters 1 1 2 2 2 3 3 4 5 6 6 8 9 9 9 9 11 12 12 12 13 13 14 15 17 17 18 21 21 21 40 40 41 50 50 52 52 53 53 53 54 54 55 55 56 Proposal No. 2: Advisory Vote to Approve Our Named Executive Officer Compensation, or “Say on Pay” . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 Proposal No. 3: Ratification of the Appointment of Ernst & Young LLP as our Independent Registered Public Accounting Firm for Fiscal Year 2021 . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Other Voting Matters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Voting and Meeting Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Information Concerning Solicitation and Voting . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Other Meeting Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 64 64 65 65 66 Proxy Statement Summary To assist you in reviewing the proposals to be acted upon at the annual meeting, we call your attention to the following summarized information about the Company, the proposals and voting recommendations, the Company’s director nominees, highlights of the directors’ key qualifications, skills and experiences, board composition, corporate governance, and executive compensation. For more complete information about these topics, please review the complete proxy statement before voting. We also encourage you to read our latest annual report on Form 10-K, which is also available at: https://investor.lamresearch.com. The content of any website referred to in this proxy statement is not a part of nor incorporated by reference in this proxy statement unless expressly noted. We use the terms “Lam Research,” “Lam,” the “Company,” “we,” “our,” and “us” in this proxy statement to refer to Lam Research Corporation, a Delaware corporation. We also use the term “Board” to refer to the Company’s Board of Directors. ABOUT LAM RESEARCH CORPORATION Lam Research is a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. We have built a strong global presence with core competencies in areas like nanoscale applications enablement, chemistry, plasma and fluidics, advanced systems engineering, and a broad range of operational disciplines. Our products and services are designed to help our customers build smaller, faster, and better performing devices that are used in a variety of electronic products, including mobile phones, personal computers, servers, wearables, automotive vehicles, and data storage devices. Our vision is to realize full value from the natural technology extensions of our Company. Our customer base includes leading semiconductor memory, foundry, and integrated device manufacturers that make products such as non-volatile memory, dynamic random-access memory (DRAM), and logic devices. We aim to increase our strategic relevance with our customers by contributing more to their continued success. Our core technical competency is integrating hardware, process, materials, software, and process control enabling results on the wafer. Deposition Etch Strip & Clean Advanced Equipment & Process Control Customer Support Semiconductor manufacturing, our customers’ business, involves the complete fabrication of multiple dies or integrated circuits on a wafer. This involves the repetition of a set of core processes and can require hundreds of individual steps. Fabricating these devices requires highly sophisticated process technologies to integrate an increasing array of new materials with precise control at the atomic scale. Along with meeting technical requirements, wafer processing equipment must deliver high productivity and be cost-effective. Demand from the Cloud, Internet of Things (IoT), and other markets is driving the need for increasingly powerful and cost-efficient semiconductors. At the same time, there are growing technical challenges with traditional scaling. These trends are driving significant inflections in semiconductor manufacturing, such as the increasing importance of vertical 3D scaling strategies as well as multiple patterning to enable shrinks. We believe we are in a strong position with our leadership and competency in deposition, etch, and clean to facilitate some of the most significant innovations in semiconductor device manufacturing. Several factors create opportunity for sustainable differentiation for us: (i) our focus on research and development, with several on-going programs related to sustaining engineering, product and process development, and concept and feasibility; (ii) our ability to effectively leverage cycles of learning from our broad installed base; (iii) our collaborative focus with ecosystem partners; and (iv) our focus on delivering our multi-product solutions with a goal to enhance the value of Lam’s solutions to our customers. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 1 Figure 1. Fiscal Year 2020 Financial Highlights $10.04 Billion Revenue $2.03 Billion Returned to Stockholders (capital return) $1.25 Billion Research and Development Spending $2.13 Billion Cash flows from Operations $657 Million in Dividends $1.37 Billion in Repurchases $15.10 Earnings per Diluted Share Figure 2. Proposals and Voting Recommendations Voting Matters Proposal No. 1: Election of Directors Proposal No. 2: Advisory Vote to Approve Our Named Executive Officer Compensation, or “Say on Pay” Proposal No. 3: Ratification of the Appointment of Ernst & Young LLP as our Independent Registered Public Accounting Firm for Fiscal Year 2021 Transaction of such other business as may properly come before the annual meeting (including any adjournment or postponement thereof) Board Vote Recommendation FOR each nominee FOR FOR Figure 3. Summary Information Regarding Director Nominees You are being asked to vote on the election of these nine directors. The following table provides summary information about each director nominee as of September 2020, and their biographical information is contained in the “Voting Proposals – Proposal No. 1: Election of Directors – 2020 Nominees for Director” section below. Director Committee Membership (2) Independent (1) AC CC NGC Other Current Public Boards Name Sohail U. Ahmed Timothy M. Archer Eric K. Brandt Age 62 53 58 Since 2019 2018 2010 Michael R. Cannon 67 2011 Catherine P. Lego 63 2006 Yes No Yes Yes Yes C/FE M/FE * C Bethany J. Mayer 58 2019 Yes M/FE Abhijit Y. Talwalkar 56 2011 Yes (Chairman) * Lih Shyng (Rick L.) Tsai Leslie F. Varon 69 63 2016 2019 Yes Yes M/FE M M M C M M Dentsply Sirona, Macerich, NortonLifeLock Dialog Semiconductor, Seagate Technology Cirrus Logic, Guidewire Software, IPG Photonics Box, Marvell Technology Group, Sempra Energy Advanced Micro Devices, iRhythm Technologies, TE Connectivity MediaTek Dentsply Sirona, Hamilton Lane (1) Independence determined in accordance with Nasdaq rules. (2) Membership and leadership shown will continue through November 1, 2020, on which date certain membership and leadership changes will take effect. See “Governance Matters - Corporate Governance - Board Committees” for details. AC - Audit committee CC - Compensation and human resources committee NGC - Nominating and governance committee C - Chair M - Member FE - Audit committee financial expert (as determined based on SEC rules) * - Qualifies as an audit committee financial expert (as determined based on SEC rules) 2 Figure 4. Director Nominee Key Qualifications, Skills and Experiences Highlights The table below summarizes the key qualifications, skills and experiences of our nominees. Not having a mark does not mean the director nominee does not possess that qualification, skill or experience. The director biographies contained in the “Voting Proposals – Proposal No. 1: Election of Directors – 2020 Nominees for Director” section below describe each director nominee’s background and relevant experience in more detail, and identifies those qualifications, skills and experiences considered most relevant to the decision to nominate candidates to serve on our Board. Key Qualifications, Skills & Experiences of Director Nominees d e m h A . U l i a h o S n o n n a C . R l e a h c M i o g e L . P e n i r e h t a C r e y a M . J y n a h t e B r a k l a w l a T . Y t i j i h b A t d n a r B . K c i r E i a s T ) . L k c i R ( g n y h S h L i n o r a V . F e i l s e L r e h c r A . M y h t o m T i Industry Knowledge - Knowledge of and experience with our semiconductor and broader technology industries and markets X X X X X X X X X Customer/Deep Technology Knowledge - Deep knowledge and understanding of semiconductor processing equipment technologies, including an understanding of our customers’ markets and needs Marketing Experience - Extensive knowledge and experience in business-to-business marketing and sales, and services and/or business development, preferably in a capital equipment industry Leadership Experience - Experience as a current or former chief executive officer (“CEO”), president, chief operating officer and/or general manager of a significant business Finance Experience - Profit and loss (“P&L”) and financing experience as an executive responsible for financial results of a breadth and level of complexity comparable to the Company Global Business Experience - Experience as a current or former business executive of a business with substantial global operations Mergers and Acquisitions (“M&A”) Experience - M&A and integration experience (including buy- and sell-side and hostile M&A experience) as a public company director or officer Board/Governance Experience - Experience with corporate governance requirements and practices Cybersecurity Expertise - Understanding of and/or experience overseeing corporate cybersecurity programs, and having a history of participation in relevant cyber education X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X X Figure 5. Director Nominee Composition Highlights The Board is committed to diversity and the pursuit of board refreshment and balanced tenure. The following charts show the tenure, age and diversity of the director nominees. We also separately present the diversity of the director nominees in terms of gender and ethnic/racial diversity. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 3 Figure 6. Corporate Governance Highlights Board and Other Governance Information Size of Board as Nominated Number of Independent Nominated Directors Number of Nominated Directors Who Attended ≥75% of Meetings Number of Nominated Directors on More Than Four Public Company Boards Number of Nominated Non-Employee Executive Officer Directors Who Are on More Than Two Public Company Boards Limitations on Other Board and Committee Memberships (Page 13) Directors Subject to Stock Ownership Guidelines (Page 13) Hedging and Pledging Prohibited (Page 9) Annual Election of Directors (Page 55) Voting Standard (Page 55) Plurality Voting Carveout for Contested Elections Separate Chair and CEO Independent Board Chair (Page 12) Independent Directors Meet Without Management Present (Page 12) Annual Board (Including Individual Director) and Committee Self-Evaluations (Page 10) Annual Independent Director Evaluation of CEO (Pages 14-15) Risk Oversight by Full Board and Committees (Page 15) Commitment to Board Refreshment and Diversity (Page 10) Robust Director Nomination Process (Pages 11) Significant Board Engagement (Pages 14-15) Board Orientation/Education Program (Pages 10-11) Code of Ethics Applicable to Directors (Page 9) Stockholder Proxy Access (Pages 11, 67-68) Stockholder Ability to Act by Written Consent Stockholder Engagement Program (Pages 15-16) Poison Pill Publication of annual Corporate Social Responsibility Report on Our Website (Pages 17-18) As of September 2020 9 8 9 0 0 Yes Yes Yes Yes Majority Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes Yes No Yes 4 Figure 7. Executive Compensation Highlights What We Do Pay for Performance (Pages 22-25) – Our executive compensation program is designed to pay for performance with 100% of the annual incentive program tied to company financial, strategic, and operational performance metrics; 50% of the long-term incentive program tied to relative total shareholder return, or “TSR,” performance; and 50% of the long-term incentive program awarded in stock options and service-based restricted stock units, or “RSUs.” Three-Year Performance Period for Our 2020 Long-Term Incentive Program (Pages 35-37) – Our current long-term incentive program is designed to pay for performance over a period of three years. Absolute and Relative Performance Metrics (Pages 25, 30-37) – Our annual and long-term incentive programs for executive officers include the use of absolute and relative performance factors. Balance of Annual and Long-Term Incentives – Our incentive programs provide a balance of annual and long-term incentives. Different Performance Metrics for Annual and Long-Term Incentive Programs (Pages 25, 30-37) – Our annual and long-term incentive programs use different performance metrics. Capped Amounts (Pages 31-37) – Amounts that can be earned under the annual and long-term incentive programs are capped. Compensation Recovery/Clawback Policy (Page 38) – We have a policy pursuant to which we can recover the excess amount of cash incentive-based compensation granted and paid to our officers who are covered by section 16 of the Securities Exchange Act of 1934, as amended, or the “Exchange Act.” Prohibit Option Repricing – Our stock incentive plans prohibit option repricing without stockholder approval. Stock Ownership Guidelines (Page 39) – We have stock ownership guidelines for each of our executive officers and certain other senior executives; each of our named executive officers as set forth in Figure 20 has met his or her individual ownership level under the current program or has a period of time remaining under the guidelines to do so. Independent Compensation Advisor (Page 28) – The compensation and human resources committee benefits from its utilization of an independent compensation advisor retained directly by the committee that provides no other services to the Company. Stockholder Engagement (Pages 16, 26-27) – We engage with stockholders on an annual basis and stockholder advisory firms on an as needed basis to obtain feedback concerning our compensation program. What We Don’t Do Tax “Gross-Ups” for Perquisites, for Other Benefits or upon a Change in Control (Pages 39, 41, 45-49) – Our executive officers do not receive tax “gross-ups” for perquisites, for other benefits, or upon a change in control.(1) Single-Trigger Change in Control Provisions (Pages 39, 45-47) – None of our executive officers have single-trigger change in control agreements. (1) Our executive officers may receive tax gross-ups in connection with relocation benefits that are widely available to all of our employees. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 5 Stock Ownership Security Ownership of Certain Beneficial Owners and Management The table below sets forth the beneficial ownership of shares of Lam common stock by: (1) each person or entity who we believe, based on our review of filings made with the United States Securities and Exchange Commission, or the “SEC,” beneficially owned more than 5% of Lam’s common stock on the date set forth below; (2) each current director of the Company; (3) each NEO identified below in the “Compensation Matters – Executive Compensation and Other Information – Compensation Discussion and Analysis” section; and (4) all current directors and current executive officers as a group. With the exception of 5% owners, and unless otherwise noted, the information below reflects holdings as of September 4, 2020, which is the Record Date for the 2020 Annual Meeting of Stockholders and the most recent practicable date for determining ownership. For 5% owners, holdings are as of the dates of their most recent ownership reports filed with the SEC, which are the most practicable dates for determining their holdings. The percentage of the class owned is calculated using 145,087,944 as the number of shares of Lam common stock outstanding on September 4, 2020. Figure 8. Beneficial Ownership Table Name of Person or Identity of Group 5% Stockholders BlackRock, Inc. 55 East 52nd Street New York, NY 10055 The Vanguard Group, Inc. 100 Vanguard Boulevard Malvern, PA 19355 FMR LLC 245 Summer Street Boston, MA 02210 Ameriprise Financial, Inc. 145 Ameriprise Financial Center Minneapolis, MN 02100 Directors Sohail U. Ahmed Timothy M. Archer (also a Named Executive Officer) Eric K. Brandt Michael R. Cannon Youssef A. El-Mansy Catherine P. Lego Bethany J. Mayer Abhijit Y. Talwalkar Lih Shyng (Rick L.) Tsai Leslie F. Varon Named Executive Officers (“NEOs”) Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan All current directors and executive officers as a group (17 people) * Less than 1% 6 Shares Beneficially Owned (#) (1) Percentage of Class 12,507,354 (2) 11,789,265 (3) 8,975,609 (4) 7,927,471 (5) 1,244 134,752 26,965 16,860 19,286 51,368 1,240 14,497 5,640 1,240 122,328 21,376 1,807 33,614 498,536 8.62% 8.13% 6.19% 5.46% * * * * * * * * * * * * * * * (1) Includes shares subject to outstanding stock options that are now exercisable or will become exercisable within 60 days after September 4, 2020, as well as RSUs, that will vest within that time period, as follows: Sohail U. Ahmed Timothy M. Archer Eric K. Brandt Michael R. Cannon Youssef A. El-Mansy Catherine P. Lego Bethany J. Mayer Abhijit Y. Talwalkar Lih Shyng (Rick L.) Tsai Leslie F. Varon Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan All current directors and executive officers as a group (17 people) Shares 770 66,978 770 770 770 770 770 770 770 770 52,361 — — 4,861 139,867 The terms of any outstanding stock options that are now exercisable or will become exercisable within 60 days after September 4, 2020, and RSUs that will vest within that time period, are reflected in “Figure 50. FYE2020 Outstanding Equity Awards,” except as described in the following sentences. Scott G. Meikle, Ph.D. and Vahid Vahedi, Ph.D. have options covering 3,876 and 4,861 shares, respectively, which are unexercised and exercisable within 60 days of September 4, 2020. The grants for Drs. Meikle and Vahedi have terms consistent with the terms reflected in “Figure 50. FYE2020 Outstanding Equity Awards.” As discussed in “Governance Matters – Director Compensation” below, the non-employee directors receive an annual equity grant as part of their compensation. These grants generally vest on October 31, 2020, subject to continued service on the board as of that date, with immediate delivery of the shares upon vesting. For 2020, Messrs. Ahmed, Brandt, Cannon, and Talwalkar; Drs. El-Mansy and Tsai; and Mses. Lego, Mayer and Varon each received grants of 770 RSUs. (2) All information regarding BlackRock Inc., or “BlackRock,” is based solely on information disclosed in amendment number 12 to Schedule 13G filed by BlackRock with the SEC on February 5, 2020 on behalf of BlackRock and certain subsidiaries. According to the Schedule 13G filing, of the 12,507,354 shares of Lam common stock reported as beneficially owned by BlackRock as of December 31, 2019, BlackRock had sole voting power with respect to 10,810,314 shares, did not have shared voting power with respect to any shares, had sole dispositive power with respect to 12,507,354 shares, and did not have shared dispositive power with respect to any shares of Lam common stock. (3) All information regarding The Vanguard Group, Inc., or “Vanguard,” is based solely on information disclosed in amendment number eight to Schedule 13G filed by Vanguard with the SEC on February 12, 2020. According to the Schedule 13G filing, of the 11,789,265 shares of Lam common stock reported as beneficially owned by Vanguard as of December 31, 2019, Vanguard had sole voting power with respect to 223,325 shares, had shared voting power with respect to 40,960 shares, had sole dispositive power with respect to 11,537,870 shares, and had shared dispositive power with respect to 251,395 shares of Lam common stock. The 11,789,265 shares of Lam common stock reported as beneficially owned by Vanguard include 171,910 shares beneficially owned by Vanguard Fiduciary Trust Company, a wholly-owned subsidiary of Vanguard, as a result of it serving as investment manager of collective trust accounts, and 128,396 shares beneficially owned by Vanguard Investments Australia, Ltd., a wholly–owned subsidiary of Vanguard, as a result of it serving as investment manager of Australian investment offerings. (4) All information regarding FMR LLC, or “FMR,” is based solely on information disclosed in the Schedule 13G filed by FMR with the SEC on February 7, 2020 on behalf of FMR, Abigail P. Johnson, certain of FMR’s subsidiaries and affiliates, and other companies. According to the Schedule 13G filing, of the 8,975,609 shares of Lam common stock reported as beneficially owned by FMR as of December 31, 2019, FMR had sole voting power with respect to 1,174,896 shares, did not have shared voting power with respect to any shares, had sole dispositive power with respect to 8,975,609 shares, and did not have shared dispositive power with respect to any shares of Lam common stock. (5) All information regarding Ameriprise Financial, Inc., or “Ameriprise,” is based solely on information disclosed in amendment number seven to Schedule 13G filed by Ameriprise with the SEC on February 14, 2020. According to the Schedule 13G filing, of the 7,927,471 shares of Lam common stock reported as beneficially owned by Ameriprise as of December 31, 2019, Ameriprise did not have sole voting power with respect to any shares, had shared voting power with respect to 7,276,439 shares, did not have sole dispositive power with respect to any shares, and had shared dispositive power with respect to 7,927,471 shares of Lam common stock. According to the Schedule 13G filing, Ameriprise, as the parent company of Columbia Management Investment Advisers, LLC, or “Columbia,” may be deemed to have, but disclaims, beneficial ownership of the shares reported by Columbia in the Schedule 13G filing. Accordingly, the shares reported as beneficially owned by Ameriprise include those shares separately reported as beneficially owned by Columbia. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 7 Delinquent Section 16(a) Reports Section 16(a) of the Exchange Act requires our executive officers, directors, and people who own more than 10% of a registered class of our equity securities to file an initial report of ownership (on a Form 3) and reports on subsequent changes in ownership (on Forms 4 or 5) with the SEC by specified due dates. Our executive officers, directors, and greater-than-10% stockholders are also required by SEC rules to furnish us with copies of all section 16(a) forms they file. We are required to disclose in this proxy statement any failure to file any of these reports on a timely basis. Based solely on our review of the copies of the forms filed electronically with the SEC, and on written representations from certain reporting persons, we believe that all of these requirements were satisfied during fiscal year 2020, with the exception of one late Form 4 for Scott Meikle, Ph.D., filed on November 21, 2019 to report the sale of 2,000 shares of Lam Research common stock on November 1, 2019. In addition, on August 12, 2020, Dr. El-Mansy filed a Form 5 reporting transfers of shares of Lam Research common stock held by Dr. El-Mansy to a family trust on nine occasions during fiscal years 2014, 2015, 2016, 2017 and 2018. Following the transfers, the transferred shares held by the trust continued to be reported as directly held and beneficially owned by Dr. El-Mansy. The transfers should have been reported on Form 5s filed within 45 days following the end of each of those fiscal years, and the shares held by the trust thereafter reported as indirectly held and beneficially owned by Dr. El-Mansy. 8 Governance Matters Corporate Governance Our Board and members of management are committed to responsible corporate governance to manage the Company for the long-term benefit of its stockholders. To that end, the Board and management periodically review and update, as appropriate, the Company’s corporate governance policies and practices. As part of that process, the Board and management consider the requirements of federal and state law, including rules and regulations of the SEC; the listing standards for the Nasdaq Global Select Market, or “Nasdaq”; published guidelines and recommendations of proxy advisory firms; published guidelines of some of our top stockholders; published guidelines of other selected public companies; and any feedback we receive from our stockholders. A list of key corporate governance practices is provided in the “Proxy Statement Summary” above. Corporate Governance Policies We have instituted a variety of policies and procedures to foster and maintain responsible corporate governance, including the following: Figure 9. Policies and Procedures Summary Policy or Procedure Board committee charters* Corporate governance guidelines* Corporate Code of Ethics* Summary Each of the Board’s audit, compensation and human resources, and nominating and governance committees has a written charter adopted by the Board that delegates authority and responsibilities to the committee. Each committee reviews its charter, and the nominating and governance committee reviews the charters of all of the committees, annually and recommends changes to the Board, as appropriate. See “Board Committees” below for additional information regarding these committees. We adhere to written corporate governance guidelines, adopted by the Board and reviewed annually by the nominating and governance committee and the Board. Selected provisions of the guidelines are discussed below, including in the “Board Nomination Policies and Procedures,” “Director Independence Policies,” and “Other Governance Practices” sections below. We maintain a code of ethics that applies to all employees, officers, and members of the Board. The code of ethics establishes standards reasonably necessary to promote honest and ethical conduct, including the ethical handling of actual or apparent conflicts of interest between personal and professional relationships, and full, fair, accurate, timely, and understandable disclosure in the periodic reports we file with the SEC and in other public communications. We will promptly disclose to the public any amendments to, or waivers from, any provision of the code of ethics to the extent required by applicable laws. We intend to make this public disclosure by posting the relevant material on our website, to the extent permitted by applicable laws. Global Standards of Business Conduct* We maintain written standards of business conduct to address a variety of situations that apply to our worldwide workforce. Among other things, these global standards of business conduct address relationships and/or conduct with one another, with Lam (including conflicts of interest, safeguarding of Company assets, and protection of confidential information), and with other companies and stakeholders (including anti-corruption). Insider Trading Policy Our insider trading policy restricts the trading of Company stock by our directors, officers, and employees, and includes provisions addressing insider blackout periods and prohibiting pledges of Company stock, and prohibiting such persons from engaging in hedging transactions, such as “cashless” collars, forward sales, equity swaps and other similar arrangements. Investments in exchange funds may be permitted on a case-by-case basis if the fund is broadly diversified. * A copy is available on the Investors section of our website at https://investor.lamresearch.com/corporate-governance. Our Approach To Ensuring Board Effectiveness As part of the Board’s commitment to responsible corporate governance, we have developed a number of practices that together serve to ensure that, over time, the Board continues to function in an effective manner that serves the long-term interests of the Company and its stockholders. Several of the practices that we consider to be most important and summarized in Figure 10 below, and the practices themselves are described in greater detail below. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 9 Figure 10. Board Effectiveness Practices Board and committee evaluations. Every year, the Board conducts a self-evaluation of the Board, its committees, and the individual directors, overseen by the nominating and governance committee. From time to time, the evaluation is facilitated by an independent third-party consultant. The evaluation solicits the opinions of the directors regarding the effectiveness of the Board, Board committees, and individual directors in fulfilling its/their obligations. Feedback on Board and committee effectiveness is provided to the full Board for discussion, and feedback regarding individual director performance is provided to each individual director. The Board and committees identify and hold themselves accountable for action items stemming from the evaluation. The results of the evaluations are also considered as part of the director nomination process. Board composition, diversity and refreshment. The Board and the nominating and governance committee regard board refreshment as important, and strive to maintain an appropriate balance of tenure, turnover, diversity, and skills to meet the needs of the Company and the Board. In consideration of the Company’s evolving strategic priorities and as part of its refreshment planning, the nominating and governance committee regularly evaluates the Board’s composition, skills and experiences, diversity, and committee assignments to ensure that the Board functions effectively. See “Proxy Statement Summary - Figure 4. Director Nominee Key Qualifications, Skills and Experiences Highlights” and “Proxy Statement Summary - Figure 5. Director Nominee Composition Highlights” for additional information. In line with the Board’s pursuit of board refreshment and balanced tenure, the Board in 2019 appointed three new directors. The Board is committed to diversity, and for many years, the composition of the Board has reflected that commitment. As illustrated in “Proxy Statement Summary - Figure 5. Director Nominee Composition Highlights”, 67% of our nominees are diverse either as to gender or as to ethnicity/race. Every year since 2006, the Board has had at least two female directors, and starting in 2019, the total number of female directors increased to three. This year, 33% of our nominees are diverse with respect to ethnicity/race. In addition, over the last 10 years, the Board has appointed directors who have expanded the experiences, areas of substantive expertise, and geographic and industry diversity of the Board, as illustrated by the information provided in their biographies under “Voting Proposals - Proposal No. 1: Election of Directors - 2020 Nominees for Director” below. The Board is also committed to the pursuit of Board refreshment and balanced tenure. The Board believes that new perspectives and ideas are important to a forward-looking and strategic board, as is the ability to benefit from the valuable experience and familiarity of longer-serving directors who can bring to bear their learnings from their experience with the Company and with the industry and business environment in which the Company operates. Our corporate governance guidelines do not impose a term limit on Board service; however, the Board regularly assesses the directors’ tenure mix and strives to maintain a balance that will ensure both fresh perspectives and experience on the Board. The Board also considers refreshment and tenure with respect to the leadership and membership of its standing committees, and the nominating and governance committee evaluates short-term and long-term roadmaps for committee membership and leadership on a regular basis. Director onboarding and education. To ensure that new directors are able to effectively participate in and contribute to the Board as quickly as possible, we provide a comprehensive orientation and onboarding program for our new directors. Upon joining the Board, new directors participate in an orientation program which includes introductions to other Board members and our senior management team, and in depth learning about our industry, business, technology, operations, culture, people, performance, strategic plans, risk management and corporate governance practices, among other topics. The onboarding process also includes tours of one or more of our manufacturing or lab facilities. In addition, each new director is partnered with a longer-tenured director to facilitate his or her integration into the Board. First time directors (i.e. those without prior public company board experience) are encouraged to attend an outside course shortly after joining the Board. 10 Our Board is also committed to ongoing education. Our corporate governance guidelines provide that directors are expected to participate in educational events sufficient to maintain their understanding of their duties as directors and to enhance their ability to fulfill their responsibilities. In addition to any external educational opportunities that the directors find useful, the Company and the board leadership are expected to facilitate such participation by arranging for appropriate educational presentations from time to time. Board Nomination Policies and Procedures Board membership criteria. Under our corporate governance guidelines, the nominating and governance committee is responsible for recommending nominees to the independent directors, and the independent directors nominate the slate of directors for approval by our stockholders. In making its recommendations, whether for new or incumbent directors, the committee assesses the appropriate balance of experience, skills, and characteristics required for the Board at the time. Factors to be considered by the nominating and governance committee may include, but are not limited to: • • • • • • • • • • • • experience; business acumen; wisdom; integrity; judgment; the ability to make independent analytical inquiries; the ability to understand the Company’s business environment; the candidate’s willingness and ability to devote adequate time to board duties; diversity with respect to any attribute(s) the Board considers appropriate, including geographic, gender, age, and ethnic diversity; specific skills, background, or experience considered necessary or desirable for board or committee service; specific experiences with other businesses or organizations that may be relevant to the Company or its industry; and the interplay of a candidate’s experiences and skills with those of other Board members. In addition, our corporate governance guidelines provide that a director may not be nominated for re-election or reappointment to the Board after having attained the age of 75 years. To be nominated, a new or incumbent candidate must provide an irrevocable conditional resignation that will be effective upon (1) the director’s failure to receive the required majority vote at an annual meeting at which the nominee faces re-election and (2) the Board’s acceptance of such resignation. Upon the recommendations of the nominating and governance committee, the independent members of the Board have nominated nine of our current directors for re-election to serve on the Board. One current director, Dr. El-Mansy, was ineligible to be nominated under the age requirement described above, and as previously disclosed in a current report on Form 8-K, is retiring from the Board effective as of November 1, 2020. The size of the Board will be reduced to nine prior to the annual meeting. Each nominee’s key qualifications, skills, and attributes considered most relevant to the nomination of the candidate to serve on the Board are reflected in his or her biography under “Voting Proposals - Proposal No. 1: Election of Directors - 2020 Nominees for Director” below. For a summary of the key qualifications, skills, and attributes of the nominees to the Board, see “Proxy Statement Summary - Figure 4. Director Nominee Key Qualifications, Skills and Experiences Highlights.” Nomination procedure. The nominating and governance committee sets specific qualifications for new directors, and identifies, screens, evaluates, and recommends qualified candidates for appointment or election to the Board. The committee considers recommendations from a variety of sources, including search firms, Board members, executive officers, and stockholders. Nominations for election by the stockholders are made by the independent members of the Board. New candidates to join the Board typically meet with our chair, our lead independent director (if applicable), members of the nominating and governance committee, additional board members, and our president and CEO, as well as representatives of the Company’s executive team, prior to being considered for recommendation by the nominating and governance committee for appointment to the Board. See “Voting Proposals - Proposal No. 1: Election of Directors - 2020 Nominees for Director” below for additional information regarding the 2020 candidates for election to the Board. Certain provisions of our bylaws apply to the nomination or recommendation of candidates by a stockholder. For example, our bylaws provide that under certain circumstances, a stockholder, or group of up to 20 stockholders, who have maintained continuous ownership of at least three percent (3%) of our common stock for at least three years may nominate and include a specified number of director nominees in our annual meeting proxy statement that cannot exceed the greater of two or 20% of the aggregate number of directors then serving on the Board (rounded down). Information regarding the nomination procedure is provided in the “Voting and Meeting Information - Other Meeting Information - Stockholder-Initiated Proposals and Nominations for 2021 Annual Meeting” section below. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 11 Director Independence Policies Board independence requirements. Our corporate governance guidelines require that a majority of the Board members be independent. No director will qualify as “independent” unless the Board affirmatively determines that the director qualifies as independent under the Nasdaq rules and has no relationship that would interfere with the exercise of independent judgment as a director. In addition, no non-employee director may serve as a consultant or service provider to the Company without the approval of a majority of the independent directors (and any such director’s independence must be reassessed by the full Board following such approval). Board member independence. The Board has determined that all current directors, other than Mr. Archer, are independent in accordance with Nasdaq criteria for director independence. In making the determination, the Board considered prior employment with the Company, disclosed related party transactions, known familial relationships of directors with employees (not involving immediate family members) and commercial transactions involving other parties with common directorships, none of which qualified as related party transactions or were considered by the Board to interfere with the exercise of independent judgment as a director. Board committee independence. All members of the Board’s audit, compensation and human resources, and nominating and governance committees must be non-employee or outside directors and independent in accordance with applicable Nasdaq criteria as well as Rule 16b-3 of the Exchange Act. See “Board Committees” below for additional information regarding these committees. Lead independent director. Our corporate governance guidelines authorize the Board to designate a lead independent director from among the independent members. As described below under “Leadership Structure of the Board,” an independent director, Mr. Talwalkar, currently serves as chairman of the Board, and as a result the Board has not designated a lead independent director. Executive sessions of independent directors. The Board and its audit, compensation and human resources, and nominating and governance committees hold meetings of the independent directors and committee members, without management present, as part of each regularly scheduled meeting and at any other time at the discretion of the Board or committee, as applicable. Board access to independent advisors. The Board as a whole, and each standing Board committee separately, has the complete authority to retain, at the Company’s expense, and terminate, in their discretion, any independent consultants, counselors, or advisors as they deem necessary or appropriate to fulfill their responsibilities. Leadership Structure of the Board The Company’s governance framework provides the Board with the authority and flexibility necessary to select the appropriate leadership structure for the Board. In making determinations about the leadership structure, the Board considers many factors, including the specific needs of the business and what is in the best interests of the Company’s stockholders. Under our corporate governance guidelines, the Board’s leadership structure includes a chair and may also include a separate lead independent director. Currently, Mr. Talwalkar, an independent director, serves as chairman of the Board, and as a result the Board has not designated a lead independent director. The chair’s duties include (1) preparing the agenda for the Board meetings with input from the CEO, the Board, and the committee chairs; (2) upon invitation, attending meetings of any of the Board committees of which he or she is not a member; (3) conveying to the CEO, together with the chair of the compensation and human resources committee, the results of the CEO’s performance evaluation; (4) reviewing proposals submitted by stockholders for action at meetings of stockholders and, depending on the subject matter, determining the appropriate body, among the Board or any of the Board committees, to evaluate each proposal, and making recommendations to the Board regarding action to be taken in response to such proposal; (5) as requested by the Board, providing reports to the Board on the chair’s activities; (6) coordinating and developing the agenda for, and moderating executive sessions of the Board’s independent directors; (7) conveying to the CEO, as appropriate, discussions from executive sessions of the Board’s independent directors; and (8) performing such other duties as the Board may reasonably request from time to time. Other Governance Practices In addition to the principal policies and procedures described above, we have established a variety of other practices to enhance our corporate governance, including the following: Director resignation or notification of change in executive officer status. Under our corporate governance guidelines, any director who is also an executive officer of the Company must offer to submit his or her resignation as a director to the Board if the director ceases to be an executive officer of the Company. The Board may accept or decline the offer, in its discretion. The corporate governance guidelines also require a non-employee director to notify the nominating and governance committee if the director changes or retires from his or her executive position at another public company. The nominating and governance committee reviews the appropriateness of the director’s continuing Board membership under the circumstances, and the director is expected to act in accordance with the nominating and governance committee’s recommendations. 12 Limitations on other board and committee memberships. The Board believes that it is critical that directors dedicate sufficient time to their service on the Board. Under our corporate governance guidelines, Board members may not serve on more than four public company boards (including service on the Company’s Board). Non-employee directors who are executive officers at other public companies may not serve on more than two public company boards (including the Company’s Board). In addition, non-employee directors may not serve on more than three audit committees of public company boards (including the Company’s audit committee), unless approved by the nominating and governance committee. Finally, the Company’s CEO may not serve on more than one other public company board. Director and executive stock ownership. Under the corporate governance guidelines, each director is expected to own at least the lesser of five times the value of the annual cash retainer (not including any committee chair or other supplemental retainers for directors) or 5,000 shares of Lam common stock, by the fifth anniversary of his or her initial election to the Board. Guidelines for stock ownership by designated members of the executive management team are described below under “Compensation Matters— Executive Compensation and Other Information—Compensation Discussion and Analysis.” All of our directors and designated members of our executive management team were in compliance with the Company’s applicable stock ownership guidelines at the end of fiscal year 2020 or have a period of time remaining under the guidelines to meet the requirements. Communications with board members. Any stockholder who wishes to communicate directly with the Board, with any Board committee, or with any individual director regarding the Company may write to the Board, the committee, or the director c/o Secretary, Lam Research Corporation, 4650 Cushing Parkway, Fremont, California 94538. The Secretary will forward all such communications to the appropriate director(s). Any stockholder, employee, or other person may communicate any complaint regarding any accounting, internal accounting control, or audit matter to the attention of the Board’s audit committee by sending written correspondence by mail (to Lam Research Corporation, Attention: Board Audit Committee, P.O. Box 5010, Fremont, California 94537-5010) or by telephone (855-208-8578) or internet (through the Company’s third-party provider website at www.lamhelpline.ethicspoint.com). The audit committee has established procedures to ensure that employee complaints or concerns regarding audit or accounting matters will be received and treated anonymously (if the complaint or concern is submitted anonymously and if permitted under applicable law). Meeting Attendance Our Board held a total of ten meetings during fiscal year 2020. The number of committee meetings held is shown in Figures 11-13. All of the directors attended at least 75% of the aggregate number of Board meetings and meetings of Board committees on which they served during their tenure in fiscal year 2020. We expect our directors to attend the annual meeting of stockholders each year unless unusual circumstances make attendance impractical. All of the individuals who were directors as of the 2019 annual meeting of stockholders attended that meeting. Board Committees The Board has three standing committees: an audit committee, a compensation and human resources committee, and a nominating and governance committee. The purpose, membership, and charter of each are described below. Copies of each charter are available on the Investors section of our website at https://investor.lamresearch.com/corporate-governance. Figure 11. Audit Committee Membership (1)(2) Independence (4) Meetings in Purpose Eric K. Brandt (Chair) (3) Michael R. Cannon (3) Bethany J. Mayer (3) Leslie F. Varon (3) 4 of 4 FY2020 10 Purpose is to oversee the Company’s accounting and financial reporting processes, the Company’s Internal Audit Program, its investment policies and performance, its information security (including cybersecurity), its Ethics and Compliance Program, and the audits of our financial statements, including the system of internal controls. As part of its responsibilities, the audit committee reviews and oversees potential conflict of interest situations, transactions required to be disclosed pursuant to Item 404 of Regulation S-K of the SEC, and any other transaction involving an executive or Board member. (1) As of September 4, 2020. Effective November 1, 2020, Leslie F. Varon will become the chair and Catherine P. Lego will become a member, and Eric K. Brandt will no longer be a member of the committee. (2) Each member is able to read and understand fundamental financial statements as required by the Nasdaq listing standards. (3) Each is an “audit committee financial expert” as defined in the SEC rules. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 13 (4) The Board concluded that all members are non-employee directors who are independent in accordance with the Nasdaq listing standards and SEC rules for audit committee member independence. Figure 12. Compensation and Human Resources Committee Membership (1) Independence (2) Meetings in Purpose Youssef A. El-Mansy Catherine P. Lego (Chair) Abhijit Y. Talwalkar Lih Shyng (Rick L.) Tsai 4 of 4 FY2020 5 Purpose is to discharge certain responsibilities of the Board relating to executive compensation; to oversee incentive, equity-based plans, and other compensatory plans in which the Company’s executive officers and/or directors participate; to produce an annual report on executive compensation for inclusion as required in the Company’s annual proxy statement; and to discharge certain responsibilities of the Board with respect to organization and people matters. The committee is authorized to perform the responsibilities referenced above and described in its charter. (1) As of September 4, 2020. Effective November 1, 2020, Eric K. Brandt will become the chair and Sohail U. Ahmed will become a member, and Youssef A. El-Mansy and Catherine P. Lego will no longer be members of the committee. (2) The Board concluded that all members of the compensation and human resources committee are non-employee directors who are independent in accordance with Rule 16b-3 of the Exchange Act and the Nasdaq criteria for director and compensation committee member independence. Figure 13. Nominating and Governance Committee Membership (1) Independence (2) Meetings in Purpose Eric K. Brandt Michael R. Cannon (Chair) Catherine P. Lego Abhijit Y. Talwalkar 4 of 4 FY2020 4 Purpose is to identify individuals qualified to serve as members of the Board of the Company, to recommend nominees for election as directors of the Company, to oversee self-evaluations of the Board’s performance, to develop and recommend corporate governance guidelines to the Board, and to provide oversight with respect to corporate governance. The nominating and governance committee will consider for nomination persons properly nominated by stockholders in accordance with the Company’s bylaws and other procedures described below under “Voting and Meeting Information - Other Meeting Information - Stockholder-Initiated Proposals and Nominations for the 2021 Annual Meeting.” Subject to then-applicable law, stockholder nominations for director will be evaluated by the Company’s nominating and governance committee in accordance with the same criteria as is applied to candidates identified by the nominating and governance committee or other sources. (1) As of September 4, 2020. (2) The Board concluded that all members of the nominating and governance committee are non-employee directors who are independent in accordance with the Nasdaq criteria for director independence. Board’s Role and Engagement General. The Board oversees the management of the business and affairs of the Company. In this oversight role, the Board serves as the ultimate decision-making body of the Company, except for those matters reserved for the stockholders. Board agendas facilitate dialogue between the Board and management regarding drivers of long-term stockholder value and key strategic and operational risks. The Board and its committees have the primary responsibilities for: O overseeing the Company’s business strategies, and approving the Company’s capital allocation plans and priorities, annual operating plan, and major corporate actions as set forth in the below sub-bullets; A strategic plan is presented to the Board for discussion on an annual basis; An operating plan is presented to the Board for discussion on an annual basis, and updates are presented at each quarterly Board meeting; Capital allocation plans and priorities are discussed on a quarterly basis; and O O • 14 O Other major corporate actions are presented and discussed as part of management updates and as special agenda topics, as appropriate. • • • • • • • appointing, annually evaluating the performance of, and approving the compensation of the CEO; reviewing with the CEO the performance of the Company’s other executive officers and approving their compensation; reviewing and approving CEO and top leadership succession planning; advising and mentoring the Company’s senior management; overseeing the Company’s internal controls over financial reporting and disclosure controls and procedures; overseeing the Company’s ethics and compliance programs, including the Company’s code of ethics; and overseeing the Company’s material risks and enterprise risk management processes and programs. Risk Oversight. The Board is actively engaged in risk oversight. Management regularly reports to the Board on its risk assessments and risk mitigation strategies for the major risks of our business. Generally, the Board exercises its oversight responsibility directly; however, in specific cases, such responsibility has been delegated to committees of the Board. Committees that have been charged with risk oversight regularly report to the Board on those risk matters within their areas of responsibility. Risk oversight responsibility has been allocated between the Board and its committees as summarized in Figure 14 and described in more detail below. Figure 14. Risk Oversight • • • Our audit committee oversees risks related to the Company’s accounting and financial reporting, internal controls, annual financial statement audits, independent registered public accounting firm, internal audit function, related party transactions, ethics and compliance program, investment policy and portfolio, hedging strategies, and tax strategies. The audit committee also oversees our information security program (including cybersecurity), with the responsibility of recommending such Board action as it deems appropriate. Our compensation and human resources committee oversees risks related to the Company’s equity and executive compensation programs and plans, executive succession plans, employee engagement programs, and environmental, social and governance, or “ESG,” matters relating to the Company’s workforce, including inclusion and diversity. Our nominating and governance committee oversees risks related to corporate governance, board effectiveness, director independence, Board and committee composition, and ESG matters not assigned to other committees. Stockholder Engagement We believe that engagement with our stockholders is an important part of effective corporate governance. Our senior management, including our president and CEO, chief financial officer (CFO) and members of our Investor Relations team, maintain regular contact with a broad base of investors through quarterly earnings calls, meetings, investor day events, industry conferences and other investor and industry events. In addition, we regularly engage with major stockholders on governance matters, including executive compensation and ESG topics. The outreach is generally conducted outside of our proxy solicitation period and, depending on the topics, includes members of our Legal, Investor Relations and Human Resources functions, and may also include members of the Board. During the proxy solicitation period, we may also engage with our stockholders about topics to be addressed at our annual meeting of stockholders. Our process for engaging with stockholders on governance topics and annual meeting proposals is summarized in Figure 15 below. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 15 Figure 15. Stockholder Governance Engagement Cycle Before Annual Meeting Engage with stockholders to answer questions and obtain feedback on governance matters and annual meeting matters During Annual Meeting Stockholders vote on election of directors, say on pay, and other management and stockholder proposals After Annual Meeting Review annual meeting results and stockholder feedback with Board and recommend responsive actions Through these engagements, we receive valuable input from our stockholders which helps us to evaluate key initiatives from additional perspectives. We share the opinions and information received from our stockholders with the Board. Over the last few years, we have heard from stockholders about their views on subjects such as executive compensation, ESG considerations, culture, leadership transitions, proxy access, returning capital to stockholders, director tenure, board refreshment, director skills and experiences, and board and workforce diversity. Understanding the feedback shared with us, we have adopted proxy access, have maintained our focus on board diversification, board refreshment based on skills and experiences, workforce diversity, and pay for performance, and have enhanced our proxy statement and Corporate Social Responsibility, or CSR, Report disclosures. We engaged in extensive stockholder outreach on governance topics and annual meeting proposals in 2019, both prior to and during the proxy solicitation period, as illustrated in Figure 16 below. After Institutional Shareholder Services, or ISS, issued a voting recommendation against our Say on Pay proposal, we supplemented the outreach we had carried out prior to the proxy solicitation period, by contacting stockholders holding in total over 50% of our shares and offering the opportunity to discuss any concerns they might have with either Ms. Lego, the chair of the compensation and human resources committee, or Mr. Talwalkar, our then lead independent director (and current Board chairman). Ms. Lego or Mr. Talwalkar participated in meetings with stockholders holding in total approximately 29% of our shares. We have summarized our governance outreach efforts, and described the topics discussed, in Figure 16 below, as well as in “Compensation Discussion and Analysis – Overview of Executive Compensation – 2019 Say on Pay Voting Results and Stockholder Outreach”: Figure 16. 2019 Stockholder Governance Outreach Summary We contacted stockholders holding over 50% of our shares for engagement We engaged with stockholders holding 38% of our shares Topics What we heard from our stockholders Leadership, culture and human capital Certain stockholders were interested in the leadership changes, company culture, and the Board’s role with respect to culture and human capital Corporate governance Certain stockholders were interested in Board changes and the director nomination and onboarding processes Our Perspective/How we responded We consider leadership succession, culture and employee engagement to be top priorities. Under the Board’s supervision, we have taken various initiatives to create a more open, inclusive and diverse culture. We have added additional detail to explain the Board’s role in the Company’s culture and leadership (see “Culture and Human Capital Management” on page 17). In addition, for calendar year 2020, all of our named executive officers have goals and objectives related to culture, talent, and inclusion and diversity as part of our annual incentive program (see “Compensation Discussion and Analysis – Overview of Executive Compensation –2019 Say on Pay Voting Results and Stockholder Outreach” on pages 26-27). We have added additional detail regarding our director onboarding process and our director refreshment process (see “Our Approach To Ensuring Board Effectiveness” on pages 9-11). Corporate Social Responsibility Our stockholders expressed satisfaction with our CSR program and reporting We continue to enhance our CSR program and reporting. We have added additional detail regarding our CSR program (see “Corporate Social Responsibility” on pages 17-18). Executive Compensation See “Compensation Discussion and Analysis – Overview of Executive Compensation – 2019 Say on Pay Voting Results and Stockholder Outreach” on pages 26-27. 16 Culture and Human Capital Management The Board is actively engaged in overseeing our culture and the management of human capital. In 2019, the Board amended the charter of what was previously known as the compensation committee (now the compensation and human resources committee) to include additional responsibilities with respect to organizational and people matters, including the review of executive officer succession plans as described below, review of employee engagement programs, and review of ESG matters relating to the Company’s workforce, including inclusion and diversity and the workforce portion of the Company’s CSR report. One of the Board’s primary responsibilities is to oversee the performance, development and succession of our executive talent; however, the Board’s investment in people development extends beyond the executive team. The Board and the compensation and human resources committee engage with management across a broad range of human capital related topics. Under the Board’s oversight, we have focused on employee engagement, inclusion and diversity, professional development, recognition, safety, and wellness, with the goal of ensuring Lam is a place where everyone can do their best work. In 2019, we started conducting a new series of employee pulse surveys focused on employee engagement, culture, inclusion and diversity, manager effectiveness, and communications. The surveys provide management and the Board with valuable employee feedback and help ensure the executive leadership team is focused on and held accountable for fostering and promoting a culture that is consistent with Lam’s Mission, Vision and Core Values and our inclusion and diversity goals. Based on employee feedback, we launched a new inclusion and diversity training program focused on unconscious bias and microinequities, expanded self-service resources available for professional development, facilitated the creation of additional employee resource groups, created new job rotation and mentoring programs, and expanded our management training offerings. As is discussed below in “Compensation Matters – Executive Compensation and Other Information – Compensation Discussion and Analysis,” for calendar year 2020, all of our named executive officers have compensation goals related to culture, talent, and inclusion and diversity, to help ensure the members of our executive team are aligned with our corporate goals in these areas and are accountable for the results achieved. The Board believes that visits to Company facilities and direct engagement with employees enable it to judge the Company’s cultural journey first-hand. Since 2017, the Board has visited our facilities in Fremont, Livermore, Tualatin, Taiwan and South Korea, and met directly with employees in small groups at all these locations in order to engage with and hear directly from them. Due to the pandemic, these in-person meetings have been paused in recent months, and are expected to resume when the circumstances permit. We are committed to equal opportunity and non-discrimination in our employment practices, including equitable compensation for work performed. The charter of our compensation and human resources committee includes oversight responsibility for our compensation policies and practices related to pay equity laws. We maintain robust employment policies and procedures to reinforce our commitment to equal opportunity, non-discrimination, and pay equity. Our policies and procedures prohibit discrimination, harassment or retaliation in any aspect of employment, including recruiting, hiring, promotion, or compensation. Corporate Social Responsibility An important part of advancing the industry and empowering progress is being a socially responsible company. We invest in environmental, social, and economic responsibility across our business and integrate corporate social responsibility principles into our day-to-day operations. Our CSR strategy is composed of six key pillars. This framework focuses our attention on our most important topics and pressing challenges, while helping us to deliver value to our stakeholders. Business and Governance. Our core values underpin our commitments to sustainable growth and to making a positive contribution to people and the planet. We are committed to responsible and sustainable business practices and continuous improvement in our own operations, in our partnerships with our customers, across our supply chain and in our engagements with our other stakeholders. Goals and objectives are approved by senior leadership, including the CEO. Our management also meets regularly with the Board and its committees to discuss CSR strategy, gain alignment on plans and goals, and report on progress. Workplace. As described above in the “Culture and Human Capital Management” section, guided by our Core Values, we strive to provide a work environment that fosters inclusion and diversity, ensures every voice can be heard, and enables employees to achieve their full potential. We aim to maintain a collaborative, supportive, and opportunity-rich culture that enhances innovation and employee engagement. Throughout the COVID-19 pandemic, our focus and priority have remained on the health, safety, and well-being of our employees. We implemented health and safety procedures throughout our sites, distributed relief and recovery funds to employees, and offered benefits and other employee assistance programs to those experiencing disruptions due to the pandemic. Community. We believe that positively involving our employees and giving back to our community is central to our culture and an expression of our Core Values. Our charitable giving includes employee volunteer hours, the Lam Research Foundation grant program, and employee donations. Our global philanthropy and volunteerism programs provide financial and human services to improve education and quality of life in the communities in which we operate. As a successful equipment supplier in the technology industry, we encourage students to pursue science, technology, engineering and math, or “STEM,” careers, engage in activities Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 17 that give young people visibility into careers in the semiconductor industry, and support those students who demonstrate excellence in the STEM fields. We are also committed to creating positive impacts in communities around the world by contributing to local, national, and international organizations that support community needs such as hunger, food and water security, disadvantaged children and senior citizens, health improvement, and environmental protection. As part of our COVID-19 relief and recovery efforts, we have donated funds to our communities for both short-term assistance and longer-term recovery, including a portion dedicated to organizations supporting Black communities that have been disproportionately affected by the pandemic. We have also donated funds in support of initiatives fighting social injustice, by contributing to organizations that are working to end systemic racism through education, reform, and legislation. Sustainable Operations. As the world tackles climate change and other critical environmental issues, we seek to do our part by responsibly managing our impact with global goals for energy efficiency, greenhouse gas emissions, water conservation, and waste reduction. We carefully monitor and manage our environmental impact across our business and work to implement cost- effective best practices, focusing our efforts where we believe we can have the biggest long-term impact. We look at impacts from procurement to manufacturing, during research and development, or “R&D,” and product design, and throughout a product’s lifecycle. We carefully manage our greenhouse gas emissions, set goals, and report progress annually to the CDP (formerly the Carbon Disclosure Project) and through our annual CSR report. We aim to protect the health and safety of our personnel throughout our entire operation, including our offices, manufacturing sites, R&D centers, and our field team working at customer sites. Products and Customers. We develop innovative products and solutions that meet or exceed safety requirements and incorporate energy efficiency features that benefit our customers and the environment. We also strive to extend the life of our products and solutions to enable our customers to realize greater value from our products with a potentially lower environmental impact. Responsible Supply Chain. We understand the importance of an ethical and responsible supply chain, and we engage with our suppliers to address a wide range of issues including human rights, supplier diversity, environmental impact, and mineral sourcing. We are a strong proponent of supply chain-related industry standards and have adopted the standard guidelines published by the Institute for Supply Management, or “ISM,” “Principles And Standards Of Ethical Supply Management Conduct With Guidelines.” In 2019, Lam joined as an affiliate member of the Responsible Business Alliance, or “RBA. We have also adopted the RBA Code of Conduct. All direct suppliers are expected to comply with our Global Supplier Code of Conduct, which requires suppliers’ adherence to both the RBA Code of Conduct and the ISM Guiding Principles, which cover ethics, integrity, transparency, anti- corruption, conflict minerals, human trafficking, environmental sustainability, and social responsibility. For more information about our corporate social responsibility efforts, please refer to our CSR report available on the Corporate Social Responsibility section of our website at https://www.lamresearch.com/company/corporate-social-responsibility/. Director Compensation Our director compensation is designed to attract and retain high-caliber directors and to align director interests with those of stockholders. Director compensation is reviewed and determined annually by the Board (in the case of Mr. Archer, as our president and CEO, by the independent members of the Board) following a recommendation from the compensation and human resources committee. Non-employee director compensation is described below. Mr. Archer, whose compensation as president and CEO is described below under “Compensation Matters - Executive Compensation and Other Information - Compensation Discussion and Analysis,” does not receive additional compensation for his service on the Board. Non-employee director compensation. Non-employee directors receive annual cash retainers and equity awards. The chair of the Board, the lead independent director (if applicable), and committee chairs and members receive additional cash retainers. Non-employee directors who join the Board or a committee mid-year receive pro-rated cash retainers and equity awards, as applicable. Our non-employee director compensation program is based on service during the calendar year; however, SEC rules require us to report compensation in this proxy statement on a fiscal year basis. Cash compensation paid to non-employee directors for the fiscal year ended June 28, 2020, together with the annual cash compensation program components in effect for calendar years 2020 and 2019, is shown below. 18 Figure 17. Director Annual Retainers Annual Retainers(1) Non-employee Director Chair Audit Committee – Chair Audit Committee – Member Compensation and Human Resources Committee – Chair Compensation and Human Resources Committee – Member Nominating and Governance Committee – Chair Nominating and Governance Committee – Member Calendar Year 2020 ($) Calendar Year 2019 ($) Fiscal Year 2020 ($) 75,000 130,000 30,000 12,500 20,000 10,000 15,000 5,500 75,000 120,000 30,000 12,500 20,000 10,000 15,000 5,500 75,000 130,000 30,000 12,500 20,000 10,000 15,000 5,500 (1) Each Director is entitled to an annual non-employee director cash retainer. Directors are also entitled to supplemental retainer fees if they have board leadership positions (e.g., chair) and/or are either committee chairs or members. Each non-employee director also receives an annual equity grant on the first Friday following the annual meeting. For the grants made in November 2019, these had a targeted grant date value equal to $210,000 (the number of RSUs subject to the award is determined by dividing $210,000 by the closing price of a share of Company common stock as of the date of grant, rounded down to the nearest 10 shares). These grants generally vest on October 31 in the year following the grant and are subject to the terms and conditions of the Company’s 2015 Stock Incentive Plan, as amended, or the “2015 Plan,” and the applicable award agreements. These grants immediately vest in full: (1) if a non-employee director dies or becomes subject to a “disability” (as determined pursuant to the 2015 Plan), (2) upon the occurrence of a “Corporate Transaction” (as defined in the 2015 Plan), or (3) on the date of the annual meeting, if the annual meeting during the year in which the award was expected to vest occurs prior to the vest date and the non-employee director is not re-elected or retires or resigns effective immediately prior to the annual meeting. Non-employee directors who commence service after the annual award has been granted receive on the first Friday following the first regularly scheduled, quarterly Board meeting attended a pro-rated grant based on the number of regularly scheduled, quarterly Board meetings remaining in the year as of the effective date of the director’s appointment. The pro-rated grants are subject to the same vesting schedule, terms and conditions as the annual equity awards, except that if the award is granted on the first Friday following the regularly scheduled quarterly November Board meeting, the grant vests immediately. On November 8, 2019, each director at such time other than the president and CEO received a grant of 770 RSUs for service during calendar year 2020. Unless there is an acceleration event, these RSUs granted to each current director for service during calendar year 2020 will vest in full on October 31, 2020, subject to the director’s continued service on the Board. The following table shows compensation for fiscal year 2020 for persons serving as directors during fiscal year 2020 other than Mr. Archer: Figure 18. FY2020 Director Compensation Sohail U. Ahmed Eric K. Brandt Michael R. Cannon Youssef A. El-Mansy Christine A. Heckart(9) Catherine P. Lego Bethany J. Mayer Stephen G. Newberry(9) Abhijit Y. Talwalkar Lih Shyng (Rick L.) Tsai Leslie F. Varon Director Compensation for Fiscal Year 2020 Fees Earned or Paid in Cash ($) Stock Awards ($)(1) All Other Compensation ($)(2) 112,500 (3) 110,500 (6) 102,500 (7) 85,000 (8) — 100,500 (10) 131,250 (11) — 220,500 (12) 85,000 (13) 305,059 (4)(5) 206,476 (4) 206,476 (4) 206,476 (4) — 206,476 (4) 305,059 (4)(5) — 206,476 (4) 206,476 (4) 131,250 (14) 305,059 (4)(5) — — — 33,516 — 32,096 — 33,516 — — — Total ($) 417,559 316,976 308,976 324,992 — 339,072 436,309 33,516 426,976 291,476 436,309 (1) The amounts shown in this column represent the grant date fair value of unvested RSU awards granted during fiscal year 2020 in accordance with Financial Accounting Standards Board Accounting Standards Codification 718, Compensation — Stock Compensation, or “ASC 718.” However, pursuant to SEC rules, these values are not reduced by an estimate for the probability of forfeiture. The assumptions used to calculate the fair value of the RSUs in fiscal year 2020 are set forth in Note 5 to the Consolidated Financial Statements of the Company’s annual report on Form 10-K for the fiscal year ended June 28, 2020. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 19 (2) Represents the portion of medical, dental, and vision premiums paid by the Company. (3) Mr. Ahmed received $112,500, representing his annual retainer for calendar year 2020 of $75,000 for service as a director and prorated annual retainer for calendar year 2019 of $37,500 for service as a director. (4) On November 8, 2019, each non-employee director who was on the board at such time received an annual grant for calendar year 2020 of 770 RSUs based on the $272.68 closing price of Lam’s common stock and the target value of $210,000, rounded down to the nearest 10 shares. (5) On August 30, 2019, Mr. Ahmed and Mses. Mayer and Varon each received a prorated annual grant for calendar year 2019 of 470 RSUs based on the $210.51 closing price of Lam’s common stock and the target value of $100,000, rounded down to the nearest 10 shares. (6) Mr. Brandt received $110,500, representing his annual retainers for calendar year 2020 of $75,000 for service as a director, $30,000 for service as the chair of the audit committee, and $5,500 for service as a member of the nominating and governance committee. (7) Mr. Cannon received $102,500, representing his annual retainers for calendar year 2020 of $75,000 for service as a director, $15,000 for service as the chair of the nominating and governance committee, and $12,500 for service as a member of the audit committee. (8) Dr. El-Mansy received $85,000, representing his annual retainers for calendar year 2020 of $75,000 for service as a director and $10,000 for service as a member of the compensation and human resources committee. (9) Ms. Heckart resigned from and Mr. Newberry retired from the Board effective as of November 4, 2019 and as a result these former directors did not receive annual retainers during fiscal year 2020. (10) Ms. Lego received $100,500, representing her annual retainers for calendar year 2020 of $75,000 for service as a director, $20,000 for service as the chair of the compensation and human resources committee, and $5,500 for service as a member of the nominating and governance committee. (11) Ms. Mayer received $131,250, representing her annual retainers for calendar year 2020 of $75,000 for service as a director and $12,500 for service as a member of the audit committee, and prorated annual retainers for calendar year 2019 of $37,500 for service as a director and $6,250 for service as a member of the audit committee. (12) Mr. Talwalkar received $220,500, representing his annual retainers for calendar year 2020 of $75,000 for service as a director, $130,000 for service as chairman, $10,000 for service as a member of the compensation and human resources committee, and $5,500 for service as a member of the nominating and governance committee. (13) Dr. Tsai received $85,000, representing his annual retainers for calendar year 2020 of $75,000 for service as a director and $10,000 for service as a member of the compensation and human resources committee. (14) Ms. Varon received $131,250, representing her annual retainers for calendar year 2020 of $75,000 for service as a director and $12,500 for service as a member of the audit committee, and prorated annual retainers for calendar year 2019 of $37,500 for service as a director and $6,250 for service as a member of the audit committee. Other benefits. Any members of the Board enrolled in the Company’s health plans on or prior to December 31, 2012, can continue to participate after retirement from the Board in the Company’s Retiree Health Plans. The Board eliminated this benefit for any person who became a director after December 31, 2012. The most recent valuation of the Company’s accumulated post-retirement benefit obligation under Accounting Standards Codification 715, Compensation-Retirement Benefits as of June 28, 2020, for eligible directors and the current directors who may become eligible, is shown below. Factors affecting the amount of post- retirement benefit obligation include current age, age at retirement, coverage tier (e.g., single, plus spouse, plus family), interest rate, and length of service. Figure 19. FY2020 Accumulated Post-Retirement Benefit Obligations Name Sohail U. Ahmed Eric K. Brandt Michael R. Cannon Youssef A. El-Mansy Christine A. Heckart Catherine P. Lego Bethany J. Mayer Stephen G. Newberry Abhijit Y. Talwalkar Lih Shyng (Rick L.) Tsai Leslie F. Varon 20 Accumulated Post-Retirement Benefit Obligation, as of June 28, 2020 ($) — — — 594,000 — 481,000 — 849,000 — — — Compensation Matters Executive Compensation and Other Information Compensation Discussion and Analysis This Compensation Discussion and Analysis, or “CD&A,” describes our executive compensation program. Our CD&A discusses compensation earned by our fiscal year 2020 “Named Executive Officers,” or “NEOs,” who are as follows: Figure 20. FY2020 NEOs Named Executive Officer Position(s) Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord President and Chief Executive Officer Executive Vice President and Chief Financial Officer Executive Vice President, Chief Technology Officer Executive Vice President, Customer Support Business Group and Global Operations Seshasayee (Sesha) Varadarajan Senior Vice President and General Manager, Deposition Business Unit Our CD&A is organized according to the following structure: Table of Contents Page I. Overview of Executive Compensation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 Our Business, Our Industry Environment, and Our Financial Performance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 Executive Compensation Philosophy and Program Design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 24 2019 Say on Pay Voting Results and Stockholder Outreach . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 II. Executive Compensation Governance and Procedures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 Role of the Compensation and Human Resources Committee . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 Role of Committee Advisors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 Role of Management . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 Peer Group Practices and Survey Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 28 Assessment of Compensation Risk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 Tax and Accounting Considerations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 29 III. Primary Components of NEO Compensation; CY2019 Compensation Payouts; CY2020 Compensation Targets and Metrics . . . 30 Base Salary . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 Annual Incentive Program . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 30 Long-Term Incentive Program . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 35 Compensation Recovery, or “Clawback” Policy . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 Stock Ownership Guidelines . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 Employment/Change in Control Arrangements . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 Other Benefits Not Available to All Employees . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 39 Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 21 I. OVERVIEW OF EXECUTIVE COMPENSATION To align with stockholders’ interests, our executive compensation program is designed to foster a pay-for-performance culture and achieve the executive compensation objectives described in “Executive Compensation Philosophy and Program Design - Executive Compensation Philosophy” below. We have structured our compensation program and payouts to reflect these goals. Highlights of our executive compensation program are listed in “Proxy Statement Summary – Figure 7. Executive Compensation Highlights” above. Our president and CEO’s compensation in relation to each of our revenue and net income, as well as the Company’s cumulative five-year total shareholder return on common stock compared against the cumulative returns of other indexes, are shown below. Figure 21. FY2015-FY2020 CEO Pay for Performance CEO Pay for Performance CEO Total Compensation(1) Revenue Net income ) s d n a s u o h t n i ( n o i t a s n e p m o C l a t o T $16,000 $14,000 $13,745 $12,849 $12,000 $11,165 $10,556 $11,159 $11,753 $10,000 $8,000 $6,000 $4,000 $2,000 $0 $12,000,000 $10,000,000 $8,000,000 $6,000,000 $4,000,000 $2,000,000 $0 ) s d n a s u o h t n i ( e m o c n I t e N d n a e u n e v e R FY2015 FY2016 FY2017 FY2018 FY2019 FY2020 (1) “CEO Total Compensation” consists of base salary, annual incentive payments, accrued values of the cash payments under the long-term incentive program when applicable and grant date fair values of equity-based awards both under the long-term incentive program or otherwise, and all other compensation as reported in the “Summary Compensation Table” below. The CEO Total Compensation for fiscal year 2019 represents Mr. Archer’s compensation for service as president and COO until December 5, 2018 and thereafter until the end of the 2019 fiscal year as president and CEO. For 2020 and years prior to fiscal year 2019, the CEO Total Compensation relates to the compensation of the applicable CEO. The graph below compares Lam’s cumulative five-year total shareholder return on common stock with the cumulative total returns of the Nasdaq Composite Total Return Index, the Standard & Poor’s (“S&P”) 500 (TR) Index, and the Philadelphia Semiconductor Sector Total Return Index. The graph tracks the performance of a $100 investment in our common stock and in each of the indices (with the reinvestment of all dividends) for the five years ended June 28, 2020. 22 Figure 22. Comparison of Cumulative Five-Year Total Return COMPARISON OF CUMULATIVE FIVE-YEAR TOTAL RETURN* Among the Company, the Philadelphia Semiconductor Sector Total Return Index, the Nasdaq Composite Total Return Index, and the S&P 500 (TR) Index $400 $300 $200 $100 06/28/15 06/26/16 06/25/17 06/24/18 06/30/19 06/28/20 Lam Research Corporation Philadelphia Semiconductor Sector Total Return Index Nasdaq Composite Total Return Index S&P 500 (TR) Index * $100 invested on June 28, 2015 in stock or June 30, 2015 in index, including reinvestment of dividends. Indexes calculated on month-end basis. * Copyright © 2020 Standard & Poor’s, a division of S&P Global. All rights reserved. To understand our executive compensation program fully, we believe it is important to understand: • • our business, our industry environment, and our financial performance; and our executive compensation philosophy and program design. Our Business, Our Industry Environment, and Our Financial Performance An overview of our business and industry environment is set forth in “Proxy Statement Summary” on page 1. Although we have a June fiscal year end, our executive compensation program is generally designed and oriented on a calendar year basis to correspond with our calendar year-based business planning. This CD&A generally reflects a calendar year, or “CY”, orientation rather than a fiscal year, or “FY”, orientation, as shown below. The Executive Compensation Tables at the end of this CD&A are based on our fiscal year, as required by SEC regulations. Figure 23. Executive Compensation Calendar-Year Orientation Fiscal Year 2020 Relevant for executive compensation tables Calendar Year 2019 Calendar Year 2020 Relevant for compensation program design and orientation Jan-Jun Jul-Dec Jan-Jun Jul-Dec 2019 2020 In calendar year 2019 demand for semiconductor equipment declined relative to calendar year 2018, with memory segment spending in particular declining significantly year-over-year. Against this challenging backdrop, Lam delivered strong financial performance. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 23 Highlights for calendar year 2019: • • • achieved revenues of approximately $9.5 billion for the calendar year; generated operating cash flow of approximately $2.6 billion, which represents approximately 27% of revenues; and generated sufficient cash flow to support payment of approximately $662 million in dividends to stockholders, a 31% increase compared to calendar year 2018. In the first half of calendar year 2020, wafer fabrication equipment spending has strengthened in the NAND and Foundry/Logic segments, driven by increases in semiconductor demand and our customers’ technology-oriented investments. The COVID-19 pandemic has created volatility for the semiconductor industry, but we are seeing improvements in our own operations and those of our suppliers. In an improved wafer fabrication spending environment, Lam has delivered solid operating income and cash generation with revenues of $5.3 billion, and operating cash flows of $1.4 billion earned from the March and June 2020 quarters combined. Executive Compensation Philosophy and Program Design Executive Compensation Philosophy The philosophy of our compensation and human resources committee that guided this year’s awards and payout decisions is that our executive compensation program should: • • • • • • • • provide competitive compensation to attract and retain top talent; provide total compensation packages that are fair to employees and reward corporate, organizational, and individual performance; align pay with business objectives while driving exceptional performance; optimize value to employees while maintaining cost-effectiveness to the Company; create stockholder value over the long-term; align our annual program to annual performance and our long-term program to longer-term performance; recognize that a long-term, high-quality management team is a competitive differentiator for Lam, enhancing customer trust/ market share and, therefore, stockholder value; and provide rewards when results have been demonstrated. Our compensation and human resources committee’s executive compensation objectives are to motivate: • • • performance that creates long-term stockholder value; outstanding performance at the corporate, organization, and individual levels; and retention of a long-term, high-quality management team. Program Design Our program design incorporates an annual review of the compensation elements. However, a review can be undertaken whenever there is a change in roles or responsibilities or a new hire joins the Company. Our program design uses a mix of annual and long-term components, and a mix of cash and equity components. Our executive compensation program includes base salary; an annual incentive program, or “AIP”; a long-term incentive program, or “LTIP”; promotion, retention and/or new hire awards whenever necessary; as well as stock ownership guidelines and a compensation recovery policy. The primary elements of our executive compensation program are listed in Figure 24 below and are described in more detail in “III. Primary Components of NEO Compensation; CY2019 Compensation Payouts; CY2020 Compensation Targets and Metrics” below. 24 Figure 24. Compensation Components Element How it is Paid Purpose/Design Base Salary Cash Annual Incentive Program (AIP) Cash Long-Term Incentive Program (LTIP) 50% Market-based PRSUs 50% combination of stock options and service-based RSUs We believe the purpose of base salary is to provide competitive compensation to attract and retain top talent and to provide employees, including our NEOs, with a fixed and fair amount of compensation for the jobs they perform. Accordingly, we seek to ensure that our base salary levels are competitive in reference to Peer Group practice and market survey data. Our annual incentive program is designed to provide annual, performance-based compensation that is based on the achievement of pre-set annual financial, strategic, and operational objectives aligned with outstanding performance, and will allow us to attract and retain top talent, while maintaining cost-effectiveness to the Company. For more details regarding the design of the annual incentive program, see “III. Primary Components of NEO Compensation; CY2019 Compensation Payouts; CY2020 Compensation Targets and Metrics - Annual Incentive Program” below. Our long-term incentive program is designed to attract and retain top talent, provide competitive levels of compensation, align pay with stock performance over a multi-year period, reward our NEOs for outstanding Company performance, and create stockholder value over the long-term. The program design provides that 50% of the target award opportunity is awarded in Market- based PRSUs and the remaining 50% in a combination of stock options and service-based RSUs, with at least 10% of the award in each of these two vehicles. In 2020, the percentages of the LTIP target award opportunity awarded in stock options and service-based RSUs were 10% and 40%, respectively. As illustrated below, our program design is weighted toward performance and stockholder value. The performance-based program components include annual incentive program cash payouts and market-based equity and stock option awards under the LTIP. Figure 25. CY2020 Average NEO Target Pay Mix Calendar Year 2020 Average NEO Target Pay Mix 58% Performance-Based (1) Base Salary 12.5% Annual Cash Incentive 13.8% Stock Options 7.4% Service- Based RSUs 29.5% Performance- Based RSUs 36.8% Performance-Based Compensation (2) Non-Performance-Based Compensation (1) The Company’s LTIP design provides that 50% of the target award opportunity is awarded in Market-based PRSUs and the remaining 50% in a combination of stock options and service-based RSUs with at least 10% of the award in each of these two vehicles. In 2020, the percentages of the LTIP target award opportunity awarded in stock options and service-based RSUs were 10% and 40%, respectively. See “III. Primary Components of Named Executive Officer Compensation; Calendar Year 2019 Compensation Payouts; Calendar Year 2020 Compensation Targets and Metrics – Long-Term Incentive Program – Design” for further information regarding the impact of such a target pay mix.. (2) For purposes of this illustration, we include Market-based PRSUs and stock options as performance-based, but do not classify service-based RSUs as performance-based. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 25 2019 Say on Pay Voting Results and Stockholder Outreach We evaluate our executive compensation program and practices at least annually. Among other things, we consider the outcome of our most recent advisory vote on executive compensation, or Say on Pay, and input we receive from our stockholders. The primary components of our executive compensation program have remained consistent over the last several years, and until last year, stockholders have historically cast greater than 90% of votes in favor of the Say on Pay proposal, as shown in Figure 26 below. In 2019, our stockholders approved our 2019 Say on Pay proposal by a vote of 67.1% of votes cast in favor, 29.3% cast against, and 3.6% abstaining. Excluding abstentions, 69.6% of votes were cast for, as compared to 30.4% of votes cast against. Figure 26. Historical Say on Pay Votes (1) 96.4% 96.6% 98.3% 94.8% 91.2% 67.1% 2014 2015 2016 2017 2018 2019 (1) Percentages represented are as a percentage of votes cast. Abstentions are treated as votes cast and have the effect of “no” votes with respect to the Say on Pay proposal. While we believe that our most recent Say on Pay vote signifies our stockholders’ continuing support of our executive compensation program and practices, we recognize that some of our stockholders have concerns regarding certain compensation decisions made in fiscal year 2019, which contributed to the lower level of support our Say on Pay proposal received in 2019. As is described above in more detail above in “Governance Matters – Corporate Governance – Stockholder Engagement,” we engage regularly with our stockholders, typically outside of our proxy solicitation period, on matters including compensation. In 2019, after Institutional Shareholder Services, or ISS, recommended that stockholders vote against our Say on Pay proposal, we engaged in additional outreach to our stockholders during the proxy solicitation period, in order to understand and address any concerns they might have relating to executive compensation and our Say on Pay proposal. The chair of our compensation and human resources committee, Catherine P. Lego, or our then-Lead Independent Director, Abhijit Y. Talwalkar, led these discussions. The primary topic of discussion was the one-time issuance of promotion and retention equity awards that we granted to our CEO and CFO, respectively, in connection with our leadership transition that occurred at the end of 2018, and in particular, with the absence of performance-based vesting. In addition, some stockholders also expressed an interest in better understanding how the individual performance factor component of our annual incentive program is associated with the achievement of business results and supports our pay for performance philosophy. Figure 27 below summarizes what we heard from our stockholder outreach with respect to executive compensation, our perspective on those views, and what we are doing in response. 26 Figure 27. Executive Compensation Stockholder Outreach What we heard from investors Our perspective What we are doing Use and Structure of Special Equity Awards Some stockholders were concerned by our issuance, in December 2018, of one time promotion or retention awards to two of our NEOs in connection with a management transition, and in particular, with the structure of these awards, including the lack of performance conditions. Our Regular Executive Compensation Program Our stockholders generally view our executive compensation practices as appropriately aligning pay and performance. Some stockholders would like to see more disclosure relating to the individual performance factor component of the annual incentive program in order to better understand how the program supports pay for performance. Some stockholders also expressed interest in understanding whether our program includes goals and objectives related to ESG matters. We view the special equity awards as a one-time supplement to our regular compensation program that served a critical purpose in our management transition, by stabilizing our leadership structure, maintaining our focus on execution to its plans, and avoiding potential disruption and distraction at a critical time. While we do not disclose in detail the specific metrics and goals that make up the individual performance factors for our NEOs, because they relate to strategic, operational, and organizational activities that we regard as competitively sensitive, we have an opportunity to better explain how the individual performance factors contribute to our business and financial performance and to explain how topics of interest to stockholders, such as ESG, may be reflected in individual performance factors. We do not anticipate granting significant one-time awards to current NEOs without a performance-based component. We have added additional detail to better explain the linkage between the operating metrics we use to manage our business, and the individual performance factor metrics and goals against which our NEOs’ performance is assessed. For calendar year 2020, all of our NEOs have individual performance factor metrics and goals related to culture, talent, and inclusion and diversity as part of the annual incentive program. Other than the changes noted above, our compensation and human resources committee determined to maintain our executive compensation program and practices in their current form for calendar year 2020, in light of our stockholders’ continuing support. II. EXECUTIVE COMPENSATION GOVERNANCE AND PROCEDURES Role of the Compensation and Human Resources Committee Our Board has delegated certain responsibilities to the compensation and human resources committee, or for purposes of this CD&A, the “committee,” through a formal charter. The committee1 oversees the compensation programs in which our president and chief executive officer and our CEO’s direct executive and senior vice president reports participate. The independent members of our Board approve the compensation packages and payouts for our CEO. The CEO is not present for any decisions regarding his compensation packages and payouts. Committee responsibilities include, but are not limited to: • • • • • • • reviewing and approving the Company’s executive compensation philosophy, objectives, and strategies; reviewing and approving the appropriate peer group companies for purposes of evaluating the Company’s compensation competitiveness; causing the Board to perform a periodic performance evaluation of the CEO; recommending to the independent members of the Board (as determined under Nasdaq’s listing standards) corporate goals and objectives under the Company’s compensation plans, compensation packages (e.g., annual base salary level, annual cash incentive award, long-term incentive award and any employment agreement, severance arrangement, change-in-control arrangement, equity grant, or special or supplemental benefits, and any material amendment to any of the foregoing) as applicable to the CEO, and compensation payouts for the CEO; annually reviewing with the CEO the performance of the Company’s other executive officers in light of the Company’s executive compensation goals and objectives and approving the compensation packages and compensation payouts for such individuals; reviewing and recommending for appropriate Board action all cash, equity-based and other compensation packages, and compensation payouts applicable to the chair and other members of the Board; and reviewing, and approving where appropriate, equity-based compensation plans. The committee is authorized to delegate its authority and responsibilities as it deems proper and consistent with legal requirements to its members, any other committee of the Board and/or one or more officers of the Company, in accordance with the provisions of 1 For purposes of this CD&A, a reference to a compensation action or decision by the committee with respect to our chief executive officer means an action or decision by the independent members of our Board after considering the recommendation of the committee and, in the case of all other NEOs, an action or decision by the committee. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 27 the Delaware General Corporation Law. For additional information on the committee’s responsibilities and authorities, see “Governance Matters - Corporate Governance - Board Committees - Compensation and Human Resources Committee” above. In order to carry out these responsibilities, the committee receives and reviews information, analyses, and proposals prepared by our management and by the committee’s compensation consultant (see “Role of Committee Advisors” below). Role of Committee Advisors The committee is authorized to engage its own independent advisors to assist in carrying out its responsibilities. The committee has engaged the services of Compensia, Inc., or “Compensia,” a national compensation consulting firm, as the committee’s compensation consultant. Compensia provides the committee with independent and objective guidance regarding the amount and types of compensation for our chair, non-employee directors, and executive officers, and how these amounts and types of compensation compare to other companies’ compensation practices, as well as guidance on market trends, evolving regulatory requirements, compensation of our independent directors, peer group composition, and other matters as requested by the committee. Representatives of Compensia regularly attend committee meetings (including executive sessions without management present), communicate with the committee chair outside of meetings, and assist the committee with its consideration of performance metrics and goals. Compensia reports to the committee, not to management. At the committee’s request, Compensia meets with members of management to gather and discuss information that is relevant to advising the committee. The committee may replace Compensia or hire additional advisors at any time. Compensia has not provided any other services to the committee or to our management, and has received no compensation from us other than with respect to the services described above. The committee assessed the independence of Compensia pursuant to SEC rules and Nasdaq listing standards, including the following factors: (1) the absence of other services provided by it to the Company; (2) the fees paid to it by the Company as a percentage of its total revenue; (3) its policies and procedures to prevent conflicts of interest; (4) the absence of any business or personal relationships with committee members; (5) the fact that it does not own any Lam common stock; and (6) the absence of any business or personal relationships with our executive officers. The committee assessed this information and concluded that the work of Compensia had not raised any conflict of interest. Role of Management Our CEO, with support from our human resources and finance organizations, develops recommendations for the compensation of our other executive officers. Typically, these recommendations cover base salaries, annual incentive program target award opportunities, long-term incentive program target award opportunities, and the criteria upon which these award opportunities may be earned, as well as actual payout amounts under the annual and long-term incentive programs. The committee considers the CEO’s recommendations within the context of competitive compensation data, the Company’s compensation philosophy and objectives, current business conditions, the advice of Compensia, and any other factors it considers relevant. Our CEO attends committee meetings at the request of the committee but leaves the meeting for any deliberations related to and decisions regarding his own compensation, when the committee meets in executive session, and at any other time requested by the committee. Peer Group Practices and Survey Data In establishing the total compensation levels of our executive officers, as well as the mix and weighting of individual compensation elements, the committee monitors compensation data from a group of comparably sized companies in the technology industry, or the “Peer Group,” which may differ from peer groups used by stockholder advisory firms. The committee selects the companies constituting our Peer Group based on their comparability to our lines of business and industry, annual revenue, and market capitalization, and our belief that we are likely to compete with them for executive talent. Our Peer Group is focused on U.S.-based, public semiconductor, semiconductor equipment and materials companies, and similarly-sized high-technology equipment and hardware companies with a global presence and a significant investment in research and development. The table below summarizes how the Peer Group companies compare to the Company: Figure 28. 2020 Peer Group Revenue and Market Capitalization Metric Lam Research ($M) Target for Peer Group Revenue (last completed reported four quarters as of June 18, 2019) 10,418 Approximately 0.33 to 3 times Lam Market Capitalization (30-day average as of June 18, 2019) 27,772 Approximately 0.33 to 3 times Lam Peer Group Median ($M) 6,237 23,688 28 Based on these criteria, the Peer Group and targets may be modified from time to time. Our Peer Group was reviewed in August 2019 for calendar year 2020 compensation decisions and based on the criteria identified above, one company was added to the peer group (Seagate Technology PLC) and one company was removed (Maxim Integrated Products, Inc.). Our Peer Group consists of the companies listed as follows: Figure 29. CY2020 Peer Group Companies Advanced Micro Devices, Inc. KLA Corporation Seagate Technology PLC Agilent Technologies, Inc. Microchip Technology Incorporated Skyworks Solutions, Inc. Analog Devices, Inc. Applied Materials, Inc. Broadcom Limited Corning Incorporated Juniper Networks, Inc. Micron Technology, Inc. Texas Instruments Inc. NetApp, Inc. NVIDIA Corporation ON Semiconductor Corporation Qualcomm Incorporated Western Digital Corporation Xilinx, Inc. We derive revenue, market capitalization, and NEO compensation data from public filings made by our Peer Group companies with the SEC and from other publicly available sources. Radford Technology Survey data may be used to supplement compensation data from public filings as needed. The committee reviews compensation practices and selected data on base salary, bonus targets, total cash compensation, equity awards, and total compensation drawn from the Peer Group companies and/or the Radford Technology Survey as a reference to help ensure compensation packages are consistent with market norms. Base pay levels for each executive officer are generally set with reference to market-competitive levels and in reflection of each officer’s skills, experiences, and performance. Variable pay target award opportunities and total direct compensation for each executive officer are generally designed to deliver market-competitive compensation for the achievement of stretch goals, with downside risk for underperforming and upside reward for overperforming. For those executive officers who are new to their roles, compensation arrangements may be designed to deliver below-market compensation for a period of time. However, the committee does not “target” pay at any specific percentile. Rather, individual pay positioning depends on a variety of factors, such as prior job performance, job scope and responsibilities, skill set, prior experience, time in position, internal comparisons of pay levels for similar skill levels or positions, our goals to attract and retain executive talent, Company performance, and general market conditions. Assessment of Compensation Risk Management, with the assistance of Compensia, the committee’s independent compensation consultant, conducted a compensation risk assessment in 2020 and concluded that the Company’s current employee compensation programs are not reasonably likely to have a material adverse effect on the Company’s business. Tax and Accounting Considerations Deductibility of Executive Compensation Prior to 2018, and where applicable for grandfathered awards, section 162(m) of the Code imposed limitations on the deductibility for federal income tax purposes of compensation in excess of $1 million paid to our chief executive officer, and any of our three other most highly compensated executive officers (other than our chief financial officer) in a single tax year unless the compensation qualified as “performance-based compensation” within the meaning of the Code. The committee considers a number of factors, including the deductibility of such compensation when making compensation decisions and retains the discretion to award compensation even if it is not deductible. Taxation of “Parachute” Payments Sections 280G and 4999 of the Code provide that “disqualified individuals” within the meaning of the Code (which generally includes certain officers, directors and employees of the Company) may be subject to additional tax if they receive payments or benefits in connection with a change in control of the Company that exceed certain prescribed limits. The Company or its successor may also forfeit a deduction on the amounts subject to this additional tax. We did not provide any of our executive officers, any director, or any other service provider with a “gross-up” or other reimbursement payment for any tax liability that the individual might owe as a result of the application of sections 280G or 4999 during fiscal year 2020, and we have not agreed and are not otherwise obligated to provide any individual with such a “gross-up” or other reimbursement as a result of the application of sections 280G and 4999. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 29 Internal Revenue Code Section 409A Section 409A of the Code imposes significant additional taxes on an executive officer, director, or service provider that receives non-compliant “deferred compensation” that is within the scope of section 409A. Among other things, section 409A potentially applies to cash awards under the LTIP, if any, the Elective Deferred Compensation Plan, certain equity awards, and severance arrangements. To assist our employees in avoiding additional taxes under section 409A, we have structured the LTIP, the Elective Deferred Compensation Plan, and our equity awards in a manner intended to qualify them for exclusion from, or compliance with, section 409A. Accounting for Stock-Based Compensation We follow Accounting Standards Codification (“ASC”) 718 for accounting for our stock options and other stock-based awards. ASC 718 requires companies to calculate the grant date “fair value” of their stock option grants and other equity awards using a variety of assumptions. This calculation is performed for accounting purposes. ASC 718 also requires companies to recognize the compensation cost of stock option grants and other stock-based awards in their income statements over the period that an employee is required to render service in exchange for the option or other equity award. III. PRIMARY COMPONENTS OF NEO COMPENSATION; CY2019 COMPENSATION PAYOUTS; CY2020 COMPENSATION TARGETS AND METRICS This section describes the components of our executive compensation program. It also describes, for each component, the payouts to our NEOs for calendar year 2019 and the forward-looking actions taken with respect to our NEOs in calendar year 2020. Base Salary Adjustments to base salary are generally considered by the committee each year in February. For calendar years 2020 and 2019, base salaries for NEOs were determined by the committee in February of each year (other than the calendar year 2019 base salary for Mr. Bettinger, which was determined by the committee in November 2018 in connection with the expansion of the scope of his responsibilities) and became effective on March 1 or the first day of the pay period that included March 1 (if earlier), based on the factors described above. The following base salary adjustments for 2020 were made to remain competitive relative to our Peer Group and reflect performance as follows: Mr. Archer’s base salary was increased by 5%, Mr. Bettinger’s base salary was increased by 3%, Dr. Gottscho’s base salary was increased by 2%, Dr. Lord’s base salary was increased by 10% in connection with his promotion to executive vice president and increased scope of responsibility as the executive responsible for both the customer support business group and global operations organization, and Mr. Varadarajan’s base salary was increased by 6%. The base salaries of the NEOs for calendar years 2020 and 2019 are shown below. Figure 30. NEO Annual Base Salaries Named Executive Officer Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan (1) Effective February 24, 2020 (2) Effective February 25, 2019 Annual Incentive Program Annual Incentive Program Components Annual Base Salary 2020 (1) ($) Annual Base Salary 2019 (2) ($) 1,050,000 1,000,000 659,200 596,031 509,850 480,392 640,000 584,344 463,500 453,200 The components of our annual incentive program, each of which plays a role in determining actual payments made, are described in Figure 31 below. 30 Figure 31. Annual Incentive Program Components Component Role Extent of Discretion Permitted Funding Factor Create a maximum payout amount from which annual incentive program payouts may be made. The committee may exercise negative (but not positive) discretion against the Funding Factor result. Achievement of a minimum level of performance against the Funding Factor goals is required to fund any program payments. The committee primarily tracks the results of the Corporate Performance Factor and the Individual Performance Factors as a guide to using negative discretion. Generally, the entire funded amount is not paid out. A corporate-wide metric and goal that is designed to be a stretch goal. The committee may exercise positive or negative discretion, provided the Funding Factor result is not exceeded. Applies to all NEOs. Corporate Performance Factor Individual Performance Factors Based on organization-specific metrics and goals that are designed to be stretch goals that apply to each individual NEO. The committee may exercise positive or negative discretion, provided the Funding Factor result is not exceeded. Target Award Opportunity The committee establishes individual target award opportunities for each NEO as a percentage of base salary. Specific target award opportunities are determined based on job scope and responsibilities, as well as an assessment of Peer Group data. Awards have a maximum payment amount defined as a multiple of the target award opportunity. The maximum award for 2019 and 2020 was set at 2.25 times target, consistent with prior years. N/A For making payout decisions, the committee primarily tracks the results of the Corporate Performance Factor and Individual Performance Factors, which are typically weighted equally. The specific metric and goal for the Corporate Performance Factor, and the relative weightings of the Corporate Performance Factor and the Individual Performance Factors, are determined by the committee considering the recommendation of our CEO. The specific metric and goals for the Individual Performance Factors are determined by our CEO, or in the case of the CEO, by the committee. The metrics and goals for the Corporate and Individual Performance Factors are set annually in connection with our annual business planning cycle, and are directly connected to our annual business plans and goals. Goals are set depending on the business environment and the Company’s annual objectives and strategies, encompassed in the Annual Operating Plans for the company and the organizations managed by each of the NEOs, to ensure that they remain stretch goals regardless of changes in the business environment, which can vary significantly from year-to-year in our industry. Accordingly, as business conditions improve, goals are calibrated to require better performance, and if business conditions deteriorate, goals are calibrated to incentivize stretch performance under more difficult conditions. The interplay between our corporate planning cycle and our compensation planning and evaluation cycle is summarized in Figure 32 below. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 31 Figure 32. Annual Planning and Compensation Decision Cycle We believe that, over time, outstanding business results create stockholder value. Consistent with this belief, multiple performance- based metrics (non-GAAP operating income, product market share, and strategic, operational, and organizational metrics embodied in organizational Annual Operating Plans) are established for our NEOs as part of the Corporate and Individual Performance Factors. We believe the metrics and goals set under this program, together with the exercise of discretion by the committee as described above, have been effective to motivate our NEOs and the organizations they lead, and to achieve pay-for-performance results. Figure 33. CY2017-CY2019 Annual Incentive Program Payouts Calendar Year 2019 2018 2017 Average NEO’s Annual Incentive Payout as % of Target Award Opportunity Business Environment 97 Strong revenue, profitability, and cash generation performance despite an overall decrease in demand for semiconductor equipment driven by a decrease in memory investments partially offset by foundry/logic spending. 137 Strong operating performance and continued expansion of served available markets. Growth in demand for semiconductor equipment driven by the memory segment for both capacity and technology investments. 204 Strong operating performance and continued expansion of served available markets, supported by overall economic environment. Healthy demand for semiconductor equipment driven by capacity and technology investments. Calendar Year 2019 Annual Incentive Program Parameters In February 2019, the committee set the calendar year 2019 target award opportunities and established the metrics and goals for the Funding Factor, the metrics and annual goals for the Corporate Performance Factor, and the metrics and goals for the Individual Performance Factors for each then-employed NEO. 2019 Annual Incentive Program Funding Factor. In February 2019, the committee set non-GAAP operating income2 as a percentage of revenue, or “non-GAAP operating profit,” as the metric for the Funding Factor for calendar year 2019, with the following goals: • • • a minimum achievement of 5% non-GAAP operating profit was required to fund any program payments, and achievement of non-GAAP operating profit greater than or equal to 20% would result in the maximum funding of 225% of target, with actual funding levels interpolated between those points. The committee selected non-GAAP operating profit as the performance metric because it believes that it is the performance metric that best reflects core operating results. Non-GAAP operating profit is considered useful to investors for analyzing business trends and comparing performance to prior periods. By excluding certain costs and expenses that are not indicative of core results, non-GAAP results are more useful for analyzing business trends over multiple periods. 2 Non-GAAP operating income is derived from GAAP results, with charges and credits in the following line items excluded from GAAP results for applicable quarters during fiscal years 2020 and 2019: amortization related to intangible assets acquired through certain business combinations; gains and losses on elective deferred compensation-related liability; and restructuring charges. 32 2019 Annual Incentive Program Target Award Opportunities. The annual incentive program target award opportunities for calendar year 2019 for each NEO were as set forth below in Figure 36 in accordance with the principles described above under “Executive Compensation Governance and Procedures - Peer Group Practices and Survey Data.” 2019 Annual Incentive Program Corporate Performance Factor. In February 2019, the committee set non-GAAP operating profit as the metric for the calendar year 2019 Corporate Performance Factor, and set: • • a goal of 26.5% of revenue for the year, which was designed to be a stretch goal, and which would result in a Corporate Performance Factor of 1.00; and a maximum Corporate Performance Factor of 1.50 for the maximum payout. These goals were designed to be stretch goals. As shown in Figure 34, over the calendar years from 2015 through 2018, we steadily raised the Corporate Performance Factor goal year over year, as our outlook and the industry outlook improved. For calendar year 2019, the Corporate Performance Factor goal was set at a level that was only slightly below that of the prior year, even as the industry outlook for wafer fabrication equipment spending weakened, particularly within the memory segment, which was expected to decline from significant levels of investment in calendar year 2018. Figure 34. CY2015-CY2019 Corporate Performance Factor Goals 27.0% 26.5% 19.0% 20.0% 22.0% non-GAAP operating profit CY2015 CY2016 CY2017 CY2018 CY2019 2019 Annual Incentive Program Individual Performance Factors. For calendar year 2019, the performance metrics and goals for each NEO’s Individual Performance Factor were set based on the annual operating plans for the organization or organizations managed by that NEO, which collectively were intended to drive overall company performance. For competitive reasons, we do not disclose in detail the specific metrics and goals that make up the Annual Operating Plans for our business units, because they relate to strategic, operational, and organizational activities that we regard as competitively sensitive. However, all such metrics and goals constitute specific strategic, operational, and organizational performance objectives, are designed to be stretch goals, and are intended to deliver business results and create stockholder value. The calendar year 2019 metrics and goals that made up the Annual Operating Plans for our business units generally related to key areas such as financial performance, customer satisfaction, market share, product development and organizational development. For each of our NEOs, the relationship of their respective Individual Performance Factors for calendar year 2019 to the Annual Operating Plans for the organizations they managed is described in more detail below: • Mr. Archer’s Individual Performance Factor was based on the average of the Individual Performance Factors of all the executive and senior vice presidents reporting to him, subject to discretion based on the Company’s performance to business, strategic, and operational objectives. In approving Mr. Archer’s Individual Performance Factor, the independent members of the Board also evaluated Mr. Archer’s performance against his individual metrics and goals, which included metrics and goals related to financial performance, quality, safety, customer satisfaction, and human capital, including organizational health and inclusion and diversity. • Mr. Bettinger’s Individual Performance Factor was based on the Annual Operating Plan metrics and goals for the finance, • • global information systems, communications and investor relations organizations, including metrics and goals relating to financial performance, compliance, operational and organizational flexibility and speed, productivity, quality, and organizational effectiveness. Dr. Gottscho’s Individual Performance Factor was based on the Annual Operating Plan metrics and goals for the central engineering group and the office of the chief technology officer, including metrics and goals related to financial performance, including revenue and profitability, expansion of served available markets, engineering productivity, research and development, and organizational development. Dr. Lord’s Individual Performance Factor was based on the Annual Operating Plan metrics and goals for the customer support business group (CSBG), including metrics and goals related to financial performance, including revenue and profitability, customer experience, engineering and operational execution, product development, and organizational development. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 33 • Mr. Varadarajan’s Individual Performance Factor was based on the Annual Operating Plan metrics and goals for the deposition business unit, including metrics and goals related to market share, strategic risk reduction, financial performance, including revenue and profitability, customer experience, product development, engineering and operational execution, safety and organizational development. Calendar Year 2019 Annual Incentive Program Payout Decisions In February 2020, the committee considered the actual results under these factors and made payout decisions for the calendar year 2019 program. Actual non-GAAP operating profit was 26.17% for calendar year 2019. This performance resulted in a Funding Factor of 225% of target and a Corporate Performance Factor of 0.967 for calendar year 2019. In addition, in recommending to the committee the Individual Performance Factors for calendar year 2019 for each of the other NEOs reporting to him, Mr. Archer considered the performance against Annual Operating Plan metrics and goals of the organizations respectively managed by each NEO. The committee, in turn, in recommending to the independent members of our board Mr. Archer’s Individual Performance Factor, considered Mr. Archer’s performance against his individual goals and objectives, taking into consideration the individual performance of all the executive and senior vice presidents reporting to him as reflected in the average of their Individual Performance Factors. The committee declined to exercise its discretion to recommend an adjustment to Mr. Archer’s Individual Performance Factor. Following a robust discussion by the committee (and, in the case of Mr. Archer’s Individual Performance Factor, by the independent members of our board), these recommendations were approved, resulting in the Individual Performance Factors for calendar year 2019 shown in Figure 35 below. Figure 35. CY2019 Individual Performance Factors Named Executive Officer Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan Individual Performance Factor 0.967 0.960 0.960 0.960 0.980 Based on the above results and decisions, the committee approved for the calendar year 2019 annual incentive program payouts for each NEO as shown below in Figure 36, which were less than the maximum payout available under the Funding Factor: Figure 36. CY2019 Annual Incentive Program Payouts Named Executive Officer Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan Target Award Opportunity (% of Base Salary) Target Award Opportunity ($) (1) Maximum Payout under Funding Factor (225.0% of Target Award Opportunity) ($) (2) 150 100 90 85 85 1,500,000 640,000 525,910 393,975 385,220 3,375,000 1,440,000 1,183,298 886,444 866,745 Actual Payouts ($) 1,450,500 616,960 506,977 379,792 375,204 (1) Calculated by multiplying each NEO’s annual base salary as of October 1, 2019 by his or her respective target award opportunity percentage. (2) The Funding Factor resulted in a potential payout of up to 225.0% of target award opportunity for the calendar year (based on the actual non-GAAP operating profit results detailed under “2019 Annual Incentive Program Corporate Performance Factor” above and the specific goals described under “Calendar Year 2019 Annual Incentive Program Parameters - 2019 Annual Incentive Program Funding Factor” above). Calendar Year 2020 Annual Incentive Program Parameters In February 2020, the committee set the target award opportunity for each NEO as a percentage of base salary, and consistent with prior years set a cap on payments equal to 2.25 times the target award opportunity. The target award opportunity for each NEO is shown below. The target percentages increased for Dr. Lord to reflect his promotion to executive vice president and increased scope of responsibility as the executive responsible for both the customer support business group and global operations organization. 34 Figure 37. CY2020 Annual Incentive Program Target Award Opportunities Named Executive Officer Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan Target Award Opportunity (% of Base Salary) 150 100 90 90 85 The committee also approved non-GAAP operating profit as the annual metric for the Funding Factor and the Corporate Performance Factor, and set the annual goals for the Funding Factor and the Corporate Performance Factor. Consistent with the program design, the Corporate Performance Factor goal is more difficult to achieve than the Funding Factor goal. Individual Performance Factor metrics and goals were also established for each NEO. These include strategic and operational performance goals specific to individuals and their business organization. As a result, each NEO has multiple performance metrics and goals under this program. For calendar year 2020, all of our NEOs have individual performance factor metrics and goals related to culture, talent, and inclusion and diversity. All Corporate and Individual Performance Factor goals were designed to be stretch goals. Long-Term Incentive Program Design Our LTIP is designed to attract and retain top talent, provide competitive levels of compensation, align pay with achievement of business objectives and with stock performance over a multi-year period, reward our NEOs for outstanding Company performance, and create stockholder value over the long-term. Under the current long-term incentive program, at the beginning of each multi-year performance period, target award opportunities (expressed as a U.S. dollar value) and performance metrics are established for the program. Of the total target award opportunity, 50% is awarded in Market-based PRSUs, and the remaining 50% is awarded in a combination of stock options and service-based RSUs with at least 10% of the award in each of these two vehicles. The specific percentage of service-based RSUs and stock options is reviewed annually to determine whether service-based RSUs or stock options are the more efficient form of equity for the majority of the award based on criteria such as the current business environment and the potential value to motivate and retain the executives. We consider Market-based PRSUs and stock options to be performance-based, but do not classify service-based RSUs as performance-based. This means that if options constitute 10% of the total target award opportunity, the long-term incentive program will be 60% performance-based. If options constitute 40% of the total target award opportunity, the long-term incentive program will be 90% performance-based. While service-based RSUs and stock options vest on an annual basis over three years, Market-based PRSUs cliff vest after three years. Cliff, rather than annual, vesting provides for both retention and for aligning NEOs with longer-term stockholder interests. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 35 Equity Vehicles The equity vehicles used in our 2020/2022 long-term incentive program are as follows: Figure 38. 2020/2022 LTIP Program Equity Vehicles Equity Vehicles Vesting Terms Market-based PRSUs 50% of Target Award Opportunity • Awards cliff vest three years from the March 2, 2020 grant date, or “Grant Date,” subject to satisfaction of a minimum performance requirement and continued employment. • Awards that vest at the end of the performance period are distributed in shares of our common stock. Stock Options 10% of Target Award Opportunity • Awards vest one-third on the first, second, and third anniversaries of the March 2, 2020 grant date, or “Grant Date,” subject to continued employment. • Awards are exercisable upon vesting. • Expiration is on the seventh anniversary of the Grant Date. • The number of Market-based PRSUs granted is determined by dividing 50% of the target opportunity by the 30-day average of the closing price of our common stock prior to the Grant Date, $312.94, rounded down to the nearest share. • The number of shares represented by the Market-based PRSUs that can be earned over the performance period is determined according to the performance parameters described in Figure 39 below. • The number of stock options granted is determined by dividing 10% of the target opportunity by the 30-day average of the closing price of our common stock prior to the Grant Date, $312.94, rounded down to the nearest share and multiplying the result by four. The ratio of four options for every RSU is based on a Black Scholes fair value accounting analysis. • The exercise price of stock options is the closing price of our common stock on the Grant Date. Service-based RSUs 40% of Target Award Opportunity • Awards vest one-third on the first, second, and third • The number of RSUs granted is determined by dividing anniversaries of the March 2, 2020 grant date, or “Grant Date,” subject to continued employment. • Awards are distributed in shares of our common stock 40% of the target opportunity by the 30-day average of the closing price of our common stock prior to the Grant Date, $312.94, rounded down to the nearest share. upon vesting. Figure 39. 2020/2022 Market-based PRSU Performance Parameters Parameter Terms Performance Period Three years from the first business day in February (February 3, 2020 through February 2, 2023). Performance Index PHLX Semiconductor Sector Total Return Index (XSOX) Number of Shares • Based on our “total return” stock price performance compared to the market price performance of the Performance Index, subject to a ceiling as described below. The stock price performance or market price performance is measured using the closing price for the 50 trading days prior to the dates the performance period begins and ends, assuming that any dividends paid on our common stock are reinvested on the ex-dividend date (consistent with the treatment of dividends in the Performance Index). • The target number of shares represented by the Market-based PRSUs is increased by 2% of target for each 1% that our stock price performance exceeds the market price performance of the Performance Index; similarly, the target number of shares represented by the Market-based PRSUs is decreased by 2% of target for each 1% that our stock price performance trails the market price performance of the Performance Index. The result of the vesting formula is rounded down to the nearest whole number. • A table reflecting the potential payouts depending on various comparative results is shown below in Figure 40. Award Ceiling/Minimum The final shares awarded cannot exceed 150% of target (requiring a positive percentage change in our stock price performance compared to that of the market price performance of the Performance Index equal to or greater than 25 percentage points) and can be as little as 0% of target (requiring a percentage change in our stock price performance compared to that of the market price performance of the Performance Index equal to or lesser than negative 50 percentage points). 36 Figure 40. Market-based PRSU Potential Payouts Lam’s Total Return % Change Performance Compared to XSOX Index % Change Performance Market-based PRSUs That Can Be Earned (% of Target) (1) + 25% or more 10% 0% (equal to index) - 10% - 25% - 50% or less 150 120 100 80 50 0 (1) The results of the vesting formula (reflecting the number of Market-Based PRSUs that can be earned) are linearly interpolated between the stated percentages using the formula described in the third row of Figure 39. Target Award Opportunity Under the long-term incentive program, the committee sets a target award opportunity for each participant based on the NEO’s position and responsibilities and an assessment of competitive compensation data. The target award opportunities for each participant are expressed in a U.S. dollar value. The target amounts for each NEO under the program cycles affecting fiscal year 2019 are shown below. Figure 41. LTIP Target Award Opportunities Named Executive Officer Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Target Award Opportunity ($) by Long-Term Incentive Program 2017/2019 (1) 2018/2020 (2) 2019/2021 (3) 2020/2022 (4) 4,500,000 5,000,000 7,200,000 9,500,000 2,750,000 2,250,000 2,700,000 2,750,000 3,250,000 2,500,000 2,250,000 2,500,000 1,350,000 1,900,000 1,800,000 2,500,000 Seshasayee (Sesha) Varadarajan (5) 1,200,000 1,700,000 1,575,000 2,150,000 (1) The three-year performance period for the 2017/2019 LTIP began on February 1, 2017 and ended on January 31, 2020. (2) The three-year performance period for the 2018/2020 LTIP began on February 1, 2018 and ends on January 31, 2021. (3) The three-year performance period for the 2019/2021 LTIP began on February 1, 2019 and ends on January 31, 2022. (4) The three-year performance period for the 2020/2022 LTIP began on February 3, 2020 and ends on February 2, 2023. (5) Of the target award opportunities for the awards to Mr. Varadarajan under the 2017/2019 vice president long-term incentive program, 50% were awarded in Market-based PRSUs and 50% in service-based RSUs on terms otherwise similar (except in determining the number of shares representing the Market-Based PRSUs and number of RSU, using 50% as the percentage) to those of securities awarded to other NEOs under the 2017/2019 LTIP. Calendar Year 2017/2019 LTIP Award Parameters and Payouts On March 1, 2017, the committee granted to each then-current NEO (Mr. Archer, Mr. Bettinger, Dr. Gottscho and Dr. Lord), as part of the calendar year 2017/2019 CEO staff long-term incentive program, or “2017/2019 CEO Staff LTIP Awards,” Market-based PRSUs, and service-based RSUs and stock options, with a total target award opportunity shown below. On March 1, 2017, the equity award grant board committee granted to the remaining current NEO (Mr. Varadarajan), as part of the 2017/2019 vice president long-term incentive program, or “2017/2019 VP LTIP Awards” (which we refer to collectively with the 2017/2019 CEO Staff LTIP Awards as the “2017/2019 LTIP Awards”), Market-based PRSUs and service-based RSUs with a total award opportunity shown below. The service-based RSUs and stock options (only under the 2017/2019 CEO Staff LTIP Awards) vested over three years, one-third on each anniversary of the grant date. The Market-based PRSUs cliff vested three years from the grant date. The terms of the Market-based PRSUs and service-based RSUs granted to all the NEOs as part of the 2017/2019 LTIP Awards were the same. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 37 Figure 42. 2017/2019 LTIP Award Grants Named Executive Officer Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan Target Award Opportunity ($) Market-based PRSUs Award (#) (1) Stock Options Award (#) Service-based RSUs Award (#) 4,500,000 2,750,000 3,250,000 1,350,000 1,200,000 19,428 11,872 14,031 5,828 5,180 15,540 9,496 11,224 4,660 — 15,542 9,498 11,225 4,662 5,180 (1) The number of Market-based PRSUs awarded is reflected at target. The final number of shares that may be earned is 0% to 150% of target. In February 2020, the committee determined the payouts for the calendar year 2017/2019 LTIP Awards of Market-based PRSUs. The number of shares represented by the Market-based PRSUs earned over the performance period was based on our stock price performance compared to the market price performance of the Philadelphia Semiconductor Sector (SOX) index. Based on the above formula and Market-based PRSU Vesting Summary set forth in Figures 39 and 40 (but substituting the SOX index for the XSOX index, and disregarding the impact of dividends paid, consistent with that index), the Company’s stock price performance over the three-year performance period was equal to 166.83% and the performance of the SOX index (based on market price) over the same three-year performance period was equal to 100.15%. Lam’s stock price outperformed the SOX index by 66.68%, which resulted in the maximum possible performance payout of 150% of the target number of Market-based PRSUs granted to each NEO. Based on such results, the committee made the following payouts to each NEO for the 2017/2019 LTIP Award of Market-based PRSUs. Figure 43. 2017/2019 LTIP Market-based PRSU Award Payouts Named Executive Officer Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan Calendar Year 2020 LTIP Awards Target Market-based PRSUs (#) Actual Payout of Market-based PRSUs (150% of Target Award Opportunity) (#) 19,428 11,872 14,031 5,828 5,180 29,142 17,808 21,046 8,742 7,770 Calendar Year 2020 decisions for the 2020/2022 long-term incentive program. On March 2, 2020, the committee made a grant under the 2020/2022 long-term incentive program, of Market-based PRSUs, stock options, and service-based RSUs on the terms set forth in Figures 38 and 39 with a combined value equal to the NEO’s total target award opportunity, as shown below. Figure 44. 2020/2022 LTIP Award Grants Named Executive Officer Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan Target Award Opportunity ($) Market-based PRSUs Award (#) (1) Stock Options Award (#) Service-based RSUs Award (#) 9,500,000 2,750,000 2,500,000 2,500,000 2,150,000 15,178 4,393 3,994 3,994 3,435 12,140 12,142 3,512 3,192 3,192 2,748 3,515 3,195 3,195 2,748 (1) The number of Market-based PRSUs awarded is reflected at target. The final number of shares that may be earned will be 0% to 150% of target. Compensation Recovery, or “Clawback” Policy Our executive officers covered by section 16 of the Exchange Act are subject to the Company’s compensation recovery, or “clawback,” policy. The clawback policy was adopted in August 2014 and took effect starting in calendar year 2015. It enables us, 38 in the event that a material restatement of financial results is required, to recover, within 36 months of the issuance of the original financial statements, the excess amount of cash incentive-based compensation issued to covered individuals. A covered individual’s fraud must have materially contributed to the need to issue restated financial statements in order for the clawback policy to apply to that individual. The recovery of compensation is not the exclusive remedy available in the event that the clawback policy is triggered. Stock Ownership Guidelines For senior vice presidents and above, we also have stock ownership guidelines that foster a long-term orientation. Our stock ownership guidelines for our NEOs and certain other senior executives are shown below. The requirements are specified in the alternative of shares or dollars to allow for stock price volatility. Ownership levels as shown below must be achieved within five years of appointment to one of the below positions. Increased requirements due to promotions or an increase in the ownership guideline must be achieved within five years of promotion or a change in the guidelines. At the end of fiscal year 2020, all NEOs were in compliance with our stock ownership guidelines or have a period of time remaining under the guidelines to meet the required ownership level. Figure 45. Executive Stock Ownership Guidelines Position Guidelines (lesser of) President and Chief Executive Officer 5x base salary or 50,000 shares Executive Vice Presidents Senior Vice Presidents 2x base salary or 10,000 shares 1x base salary or 5,000 shares Employment/Change in Control Arrangements The Company enters into employment or change in control agreements to help attract and retain our NEOs, and believes that these agreements facilitate a smooth transaction and transition planning in connection with change in control events. Effective January 2018, the Company entered into new three-year term employment agreements with Mr. Archer (amended on March 16, 2018 and August 8, 2019), Mr. Bettinger (amended on November 30, 2018) and Dr. Gottscho, and a new change in control agreement with Mr. Varadarajan, and effective September 8, 2020, the Company entered into a new employment agreement with Dr. Lord with a term ending on the same date as the employment agreements with Mr. Archer, Mr. Bettinger and Dr. Gottscho. The employment agreements generally provide for designated payments in the event of an involuntary termination of employment, death or disability, as such terms are defined in the applicable agreements. The employment agreements, and also the change in control agreements, generally provide for designated payments in the case of a change in control when coupled with an involuntary termination (i.e., a double trigger is required before payment is made due to a change in control), as such terms are defined in the applicable agreements. For additional information about these arrangements and detail about post-termination payments under these arrangements, see the “Potential Payments upon Termination or Change in Control” section below. Other Benefits Not Available to All Employees Elective Deferred Compensation Plan The Company maintains an Elective Deferred Compensation Plan that allows eligible employees (including all the NEOs) to voluntarily defer receipt of all or a portion of base salary and certain incentive compensation payments until a date or dates elected by the participating employee. This allows the employee to defer taxes on designated compensation amounts. In addition, the Company is obligated to pay a limited Company contribution to the plan for all eligible employees. Supplemental Health and Welfare We provide certain health and welfare benefits not generally available to other employees, including the payment of premiums for supplemental long-term disability insurance and Company-provided coverage in the amount of $1 million for both life and accidental death and dismemberment insurance for all NEOs. We also provide post-retirement medical and dental insurance coverage for eligible former executive officers under our Retiree Health Plans, subject to certain eligibility requirements. The program was closed to executive officers who joined the Company or Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 39 became executive officers through promotion effective on or after January 1, 2013. We have an independent actuarial valuation of post-retirement benefits for eligible NEOs conducted annually in accordance with generally accepted accounting principles. The most recent valuation was conducted in June 2020 and reflected the retirement benefit obligation for the NEOs as shown below. Figure 46. NEO Post-Retirement Benefit Obligations Named Executive Officer Timothy M. Archer Douglas R. Bettinger (1) Richard A. Gottscho Patrick J. Lord (1) Seshasayee (Sesha) Varadarajan (1) As of June 28, 2020 ($) 959,000 — 673,000 — — (1) Mr. Bettinger, Dr. Lord and Mr. Varadarajan are not eligible to participate under the terms of the program. Compensation Committee Report The compensation and human resources committee has reviewed and discussed with management the Compensation Discussion and Analysis required by Item 402(b) of SEC Regulation S-K. Based on this review and discussion, the compensation and human resources committee has recommended to the Board that the Compensation Discussion and Analysis be included in this proxy statement and the Company’s Annual Report on Form 10-K. This Compensation Committee Report shall not be deemed “filed” with the SEC for purposes of federal securities law, and it shall not, under any circumstances, be incorporated by reference into any of the Company’s past or future SEC filings. The report shall not be deemed soliciting material. MEMBERS OF THE COMPENSATION AND HUMAN RESOURCES COMMITTEE Youssef A. El-Mansy Catherine P. Lego (Chair) Abhijit Y. Talwalkar Lih Shyng (Rick L.) Tsai Compensation Committee Interlocks and Insider Participation None of the compensation and human resources committee members has ever been an officer or employee of Lam Research. No interlocking relationship exists as of the date of this proxy statement or existed during fiscal year 2020 between any member of our compensation and human resources committee and any member of any other company’s board of directors or compensation committee. 40 Executive Compensation Tables The following tables (Figures 47-52) show compensation information for our named executive officers: Figure 47. Summary Compensation Table Summary Compensation Table Name and Principal Position Fiscal Year Salary ($) Bonus ($) Timothy M. Archer President and Chief Executive Officer Douglas R. Bettinger Executive Vice President and Chief Financial Officer Richard A. Gottscho Executive Vice President, Chief Technology Officer 2020 1,017,308 2019 809,512 2018 674,922 2020 646,646 2019 620,518 2018 586,874 — — — — — — Stock Awards ($) (1) Option Awards ($)(2) Non-Equity Incentive Plan Compensation ($) All Other Compensation ($)(3) Total ($) 8,350,730 923,416 1,450,500 (4) 11,050 11,753,004 7,829,921 3,911,321 1,181,842 (5) 12,513 13,745,109 4,180,920 600,122 1,599,068 (6) 9,856 7,064,888 2,417,174 267,136 9,856,919 529,186 1,881,292 270,066 616,960 (4) 739,421 (5) 914,560 (6) 506,977 (4) 707,680 (5) 9,759 3,957,675 9,073 11,755,117 9,123 3,661,915 9,694 3,566,555 9,553 3,542,732 2020 588,390 6,400 (7) 2,197,418 257,676 2019 584,126 10,971 (7) 1,755,652 474,750 2018 567,324 5,867 (7) 2,090,283 316,208 1,072,242 (6) 9,384 4,061,308 Patrick J. Lord Executive Vice President, Customer Support Business Group and Global Operations 2020 479,544 2019 463,327 2018 — — — — 2,197,418 242,796 1,404,389 352,790 379,792 (4) 554,243 (5) 8,972 3,308,522 8,668 2,783,417 — — — — — Seshasayee (Sesha) Varadarajan Senior Vice President and General Manager, Deposition Business Unit 2020 462,613 10,074 (8) 1,889,916 209,024 2019 453,031 2018 — — — 1,229,006 308,609 375,204 (4) 494,802 (5) 8,829 2,955,660 8,785 2,494,233 — — — — — (1) The amounts shown in this column represent the value of service-based and market-based performance RSU awards, under the LTIP, granted in accordance with ASC 718. However, pursuant to SEC rules, these values are not reduced by an estimate for the probability of forfeiture. The assumptions used to calculate the fair value of the RSUs in fiscal year 2020 are set forth in Note 5 to the Consolidated Financial Statements of the Company’s annual report on Form 10-K for the fiscal year ended June 28, 2020. For additional details regarding the grants see “FY2020 Grants of Plan-Based Awards” table below. (2) The amounts shown in this column represent the value of the stock option awards granted, under the LTIP, in accordance with ASC 718. However, pursuant to SEC rules, these values are not reduced by an estimate for the probability of forfeiture. The assumptions used to calculate the fair value of stock options in fiscal year 2020 are set forth in Note 5 to the Consolidated Financial Statements of the Company’s annual report on Form 10-K for the fiscal year ended June 28, 2020. For additional details regarding the grants see “FY2020 Grants of Plan- Based Awards” table below. (3) Please refer to “FY2020 All Other Compensation Table” which immediately follows this table, for additional information. (4) Represents the amount earned by and subsequently paid under the calendar year 2019 AIP. (5) Represents the amount earned by and subsequently paid under the calendar year 2018 AIP. (6) Represents the amount earned by and subsequently paid under the calendar year 2017 AIP. (7) Represents patent awards. (8) Represents Mr. Varadarajan’s patent awards of $8,571 and gift of $1,503 received from the Company in connection with achieving his 20-year anniversary milestone. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 41 Figure 48. FY2020 All Other Compensation Table All Other Compensation Table for Fiscal Year 2020 Company Matching Contribution to the Company’s Section 401(k) Plan ($) Company Paid Long-Term Disability Insurance Premiums ($) (1) Company Paid Life Insurance Premiums ($) (2) Company Contribution to the Elective Deferred Compensation Plan ($) Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan 8,550 8,666 8,618 8,906 8,727 1,076 66 102 2,500 1,093 Total ($) 11,050 9,759 9,694 8,972 8,829 (1) Represents the portion of supplemental long-term disability insurance premiums paid by the Company. (2) Represents the portion of life insurance premiums paid by the Company in excess of the non-discriminatory life insurance benefits provided to all Company employees. Figure 49. FY2020 Grants of Plan-Based Awards Grants of Plan-Based Awards for Fiscal Year 2020 Estimated Future Payouts Under Non-Equity Incentive Plan Awards Estimated Future Payouts Under Equity Incentive Plan Awards Name Award Type Grant Date Approved Date Target ($) (1) Maximum ($) (1) Target (#) (2) Maximum (#) (2) Annual Incentive Program N/A 2/20/20 1,575,000 3,543,750 LTIP-Equity Market-based PRSUs 3/2/20 2/20/20 15,178 (4) 22,767 (4) Service-based RSUs 3/2/20 2/20/20 Stock Options 3/2/20 2/20/20 Annual Incentive Program N/A 2/19/20 659,200 1,483,200 LTIP-Equity Market-based PRSUs 3/2/20 2/19/20 4,393 (4) 6,589 (4) Service-based RSUs 3/2/20 2/19/20 Stock Options 3/2/20 2/19/20 Annual Incentive Program N/A 2/19/20 536,428 1,206,963 LTIP-Equity Market-based PRSUs 3/2/20 2/19/20 3,994 (4) 5,991 (4) Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Service-based RSUs 3/2/20 2/19/20 Stock Options 3/2/20 2/19/20 Annual Incentive Program N/A 2/19/20 458,865 1,032,446 LTIP-Equity Patrick J. Lord Market-based PRSUs 3/2/20 2/19/20 3,994 (4) 5,991 (4) Service-based RSUs 3/2/20 2/19/20 Stock Options 3/2/20 2/19/20 Annual Incentive Program N/A 2/19/20 408,333 918,750 LTIP-Equity Market-based PRSUs 3/2/20 2/19/20 3,435 (4) 5,152 (4) Service-based RSUs 3/2/20 2/19/20 Stock Options 3/2/20 2/19/20 Seshasayee (Sesha) Varadarajan All Other Stock Awards: Number of Shares of Stock or Units (#) All Other Option Awards: Number of Securities Underlying Options (#) Exercise or Base Price of Option Awards ($/Sh) Grant Date Fair Value of Stock and Option Awards ($) (3) 12,142 (5) 4,867,433 3,483,297 12,140 (6) 300.33 923,416 3,515 (5) 3,195 (5) 3,195 (5) 2,748 (5) 1,408,791 1,008,383 3,512 (6) 300.33 267,136 1,280,836 916,582 3,192 (6) 300.33 257,676 1,280,836 916,582 3,192 (6) 300.33 242,796 1,101,570 788,346 2,748 (6) 300.33 209,024 (1) The AIP target and maximum estimated future payouts reflected in this table were calculated using the base salary approved in February 2020, effective as of February 24, 2020. Awards payouts range from 0% to 225% of target. (2) The amounts reported represent the target and maximum number of Market-based PRSUs that may vest on the terms described in “Executive Compensation and Other Information – Compensation Discussion and Analysis” above. The number of shares that may be earned is equal to from 0% to 150% of target. (3) The amounts reported represent the fair value of Market-based PRSU, service-based RSU, and stock option awards granted during fiscal year 2020 in accordance with ASC 718. However, pursuant to SEC rules, these values are not reduced by an estimate for the probability of forfeiture. The assumptions used to calculate the fair value of awards granted during fiscal year 2020 are set forth in Note 5 to the Consolidated Financial Statements of the Company’s annual report on Form 10-K for the fiscal year ended June 28, 2020. 42 (4) The Market-based PRSUs will vest on the third anniversary of the grant date, subject to continued employment. The actual conversion of Market-based PRSUs into shares of Lam common stock following the conclusion of the three-year performance period will range from 0% to 150% of the target amount, depending upon Lam’s “total return” stock price performance (assuming any dividends paid are reinvested on the ex-dividend date) compared to the market price performance of the PHLX Semiconductor Sector Total Return Index over the applicable three- year performance period. (5) The RSUs will vest in three equal installments on the first, second and third anniversaries of the grant date, subject to continued employment. (6) The stock options will become exercisable in three equal installments on the first, second and third anniversaries of the grant date, subject to continued employment. Figure 50. FYE2020 Outstanding Equity Awards Outstanding Equity Awards at 2020 Fiscal Year-End Option Awards Stock Awards Number of Securities Underlying Unexercised Options Exercisable (#) Number of Securities Underlying Unexercised Options Unexercisable (#) Option Exercise Price ($) Option Expiration Date — 12,140 300.33 3/2/27 Number of Shares or Units of Stock That Have Not Vested (#) Market Value of Shares or Units of Stock That Have Not Vested ($) (1) 12,142 3,673,198 Equity Incentive Plan Awards: Number of Unearned Shares, Units or Other Rights That Have Not Vested (#) Equity Incentive Plan Awards: Market or Payout Value of Unearned Shares, Units or Other Rights That Have Not Vested ($)(1) 11,329 22,659 176.75 3/1/26 26,785 44,645 145.73 12/6/25 7,016 3,508 190.07 3/1/25 15,540 — — 3,512 119.67 300.33 3/1/24 3/2/27 4,248 8,496 176.75 3/1/26 3,157 1,579 190.07 3/1/25 9,496 23,871 9,303 7,242 9,658 — — — — — — 119.67 75.57 80.60 51.76 51.76 3,192 300.33 3/1/24 3/1/23 2/11/22 2/18/21 2/18/21 3/2/27 3,540 7,080 176.75 3/1/26 1,753 1,754 190.07 3/1/25 — 3,192 300.33 3/2/27 2,832 5,664 176.75 3/1/26 1,333 1,334 190.07 3/1/25 1,554 — 119.67 3/1/24 8,498 2,570,815 10,639 3,218,510 3,509 1,061,543 3,515 1,063,358 3,186 963,829 34,305 10,377,949 1,579 477,679 3,195 966,551 2,656 803,493 1,755 530,923 3,195 966,551 2,124 642,552 1,334 403,562 15,178 4,591,649 21,243 6,426,432 13,159 3,980,861 4,393 1,328,970 7,966 2,409,874 5,921 1,791,221 3,994 1,208,265 6,638 2,008,128 6,579 1,990,279 3,994 1,208,265 5,310 1,606,381 5,000 1,512,600 Name Grant Date Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord 3/2/2020 (2) 3/2/2020 (3) 3/2/2020 (4) 3/1/2019 (2) 3/1/2019 (3) 3/1/2019 (5) 12/6/2018 (6) 12/6/2018 (7) 3/1/2018 (2) 3/1/2018 (3) 3/1/2018 (5) 3/1/2017 (2) 3/2/2020 (2) 3/2/2020 (3) 3/2/2020 (4) 3/1/2019 (2) 3/1/2019 (3) 3/1/2019 (5) 11/30/2018 (7) 3/1/2018 (2) 3/1/2018 (3) 3/1/2018 (5) 3/1/2017 (2) 3/1/2016 (2) 2/11/2015 (2) 2/18/2014 (8) 2/18/2014 (2) 3/2/2020 (2) 3/2/2020 (3) 3/2/2020 (4) 3/1/2019 (2) 3/1/2019 (3) 3/1/2019 (5) 3/1/2018 (2) 3/1/2018 (3) 3/1/2018 (5) 3/2/2020 (2) 3/2/2020 (3) 3/2/2020 (4) 3/1/2019 (2) 3/1/2019 (3) 3/1/2019 (5) 3/1/2018 (2) 3/1/2018 (3) 3/1/2018 (5) 3/1/2017 (2) Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 43 Outstanding Equity Awards at 2020 Fiscal Year-End Option Awards Stock Awards Number of Securities Underlying Unexercised Options Exercisable (#) Number of Securities Underlying Unexercised Options Unexercisable (#) Option Exercise Price ($) Option Expiration Date Number of Shares or Units of Stock That Have Not Vested (#) Market Value of Shares or Units of Stock That Have Not Vested ($) (1) Equity Incentive Plan Awards: Number of Unearned Shares, Units or Other Rights That Have Not Vested (#) Equity Incentive Plan Awards: Market or Payout Value of Unearned Shares, Units or Other Rights That Have Not Vested ($)(1) Name Grant Date Seshasayee (Sesha) Varadarajan 3/2/2020 (2) 3/2/2020 (3) 3/2/2020 (4) 3/1/2019 (2) 3/1/2019 (3) 3/1/2019 (5) 3/1/2018 (2) 3/1/2018 (3) 3/1/2018 (5) — 2,748 300.33 3/2/27 2,477 4,955 176.75 3/1/26 2,384 1,192 190.07 3/1/25 2,748 831,325 1,859 562,385 1,193 360,906 3,435 1,039,156 4,647 1,405,810 4,474 1,353,474 (1) Calculated by multiplying the number of not vested units by $302.52, the closing price of our common stock on June 26, 2020. (2) The stock options will become exercisable in three equal installments on the first, second, and third anniversaries of the grant date, subject to continued employment. (3) The RSUs will vest in three equal installments on the first, second, and third anniversaries of the grant date, subject to continued employment. (4) The Market-based PRSUs will vest on the third anniversary of the grant date, subject to continued employment. The Market-based PRSUs are shown at their target amount. The actual conversion of the Market-based PRSUs into shares of Lam common stock following the conclusion of the three-year performance period will range from 0% to 150% of the target amount, depending upon Lam’s “total return” stock price performance (assuming any dividends paid are reinvested on the ex-dividend date) compared to the market price performance of the PHLX Semiconductor Sector Total Return Index over the applicable three-year performance period. (5) The Market-based PRSUs will vest on the third anniversary of the grant date, subject to continued employment. The Market-based PRSUs are shown at their target amount. The actual conversion of the Market-based PRSUs into shares of Lam common stock following the conclusion of the three-year performance period will range from 0% to 150% of that target amount, depending upon Lam’s stock price performance compared to the market price performance of the PHLX Semiconductor Sector Index over the applicable three-year performance period. (6) The stock options will become exercisable over four years (one quarter on the first anniversary of the grant date and the remainder on a pro-rated basis on the sixth day of every month thereafter for the next 36 months), subject to continued employment. (7) The RSUs will vest over four years (one quarter of the RSUs on the first anniversary of the grant date and the remainder of the RSUs on a pro-rated basis on the last day of every month thereafter for the next 36 months), subject to continued employment. (8) The stock options became exercisable on the second anniversary of the grant date. Figure 51. FY2020 Option Exercises and Stock Vested Option Exercises and Stock Vested for Fiscal Year 2020 (1) Name Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan Option Awards Stock Awards Number of Shares Acquired on Exercise (#) Value Realized on Exercise ($) Number of Shares Acquired on Vesting (#) Value Realized on Vesting ($) 36,174 7,303,600 48,462 14,101,009 — 9,236 1,333 — — 44,725 12,635,703 1,184,365 104,880 — 27,869 12,691 11,619 8,177,601 3,723,920 3,409,363 (1) The table shows all stock options exercised and the value realized upon exercise, and all stock awards vested and the value realized upon vesting, by the NEOs during fiscal year 2020, which ended on June 28, 2020. 44 Figure 52. FY2020 Non-Qualified Deferred Compensation Non-Qualified Deferred Compensation for Fiscal Year 2020 Name Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Patrick J. Lord Seshasayee (Sesha) Varadarajan Executive Contributions in FY 2020 ($) (1) Registrant Contributions in FY 2020 ($) (2) Aggregate Earnings in FY 2020 ($) (3) Aggregate Balance at FYE 2020 ($) (4) 390,100 527,809 — — — 2,500 1,093 — — — 391,921 7,488,060 155,947 3,784,110 70,327 2,272,276 — — — — (1) The entire amount of each executive’s contributions in fiscal year 2020 is reported in each respective NEO’s compensation in our fiscal year 2020 “Summary Compensation Table” above. (2) Represents the amount that Lam credited to the Elective Deferred Compensation Plan, or “EDCP,” which is 3% of the executive’s salary contribution during calendar years 2019 and 2020, to a maximum annual benefit of $2,500. These amounts are included in the “Summary Compensation Table” and “FY2020 All Other Compensation Table” above. (3) The NEOs did not receive above-market or preferential earnings in fiscal year 2020. (4) The fiscal year-end balance includes $6,703,539 for Mr. Archer, $3,099,261 for Mr. Bettinger, and $2,201,949 for Dr. Gottscho that were previously reported in the “FY2019 Non-Qualified Deferred Compensation” table in our 2019 proxy statement. The fiscal year-end balance includes $6,768,664 for Mr. Archer, $3,784,110 for Mr. Bettinger, and $366,136 for Dr. Gottscho that was contributed after December 31, 2004, or constitutes earnings on such contributions, and which is subject to distribution in the event of a Change in Control (as defined in the EDCP) as described in “Potential Payments upon Termination or Change in Control - Elective Deferred Compensation Plan” below. Potential Payments upon Termination or Change in Control The following is a summary of the employment agreements of our named executive officers. Executive Employment Agreements Timothy M. Archer. The Company and Mr. Archer entered into an employment agreement, or “Mr. Archer’s agreement,” effective January 1, 2018, for a term ending on December 31, 2020, subject to the right of the Company or Mr. Archer, under certain circumstances, to terminate the agreement prior to such time. The agreement was amended on March 16, 2018 to reflect his promotion to president and COO and on August 8, 2019 to reflect his promotion to, and new compensation as, president and CEO. Under the terms of Mr. Archer’s agreement, Mr. Archer receives a base salary, which is reviewed annually and potentially adjusted. It was set initially in the latest amendment to the agreement at $1,000,000. Mr. Archer is also entitled to participate in any short- term or long-term variable compensation programs offered by the Company to its executive officers generally, subject to the applicable terms and conditions of those programs and the approval of the independent members of the Board, and to participate in the Company’s Elective Deferred Compensation Plan. Mr. Archer receives other benefits, such as health insurance, paid time off (as his schedule permits), and eligible benefits under other plans and programs generally applicable to executive officers of the Company. If an Involuntary Termination (as defined in Mr. Archer’s agreement) of Mr. Archer’s employment occurs, other than in connection with a Change in Control (as defined in Mr. Archer’s agreement), Mr. Archer will be entitled to: (1) a lump-sum cash payment equal to 18 months of his then-current base salary, plus an amount equal to the average of the last five annual payments made to Mr. Archer under the short term variable compensation program or any predecessor or successor programs (the “Short Term Program,” and such average, the “Five-Year Average Amount”), plus an amount equal to the pro rata amount he would have earned under the Short Term Program for the calendar year in which his employment is terminated had his employment continued until the end of such calendar year, such pro rata portion to be calculated based on the performance results achieved under the Short Term Program and the number of full months elapsed prior to the termination date; (2) payment of any amounts accrued as of the date of termination under any long-term, cash-based variable-compensation programs of the Company (the “Long Term Cash Programs”); (3) certain medical benefits; (4) a cash payment equal to a product of (x) a pro rata portion (based on time of service as of the date of termination) of the unvested Market-based PRSU and/or other performance-based RSU awards granted to Mr. Archer, as adjusted for the Company’s performance (calculated as set forth in the award agreements) over the time of service and (y) the closing stock price on the date of termination; and (5) vesting, as of the date of termination, of a pro rata portion of the unvested stock option or RSU awards that are not performance-based granted to Mr. Archer at least 12 months prior to the termination date. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 45 If a Change in Control of the Company (as defined in Mr. Archer’s agreement) occurs during the period of Mr. Archer’s employment, and if there is an Involuntary Termination of Mr. Archer’s employment either in contemplation of or within the 18 months following the Change in Control, Mr. Archer will be entitled to: a lump-sum cash payment equal to 24 months of Mr. Archer’s then-current base salary, plus an amount equal to two times the Five-Year Average Amount, plus an additional amount equal to a pro rata amount (based on the number of full months worked during the calendar year during which the termination occurs) of the Five-Year Average Amount; certain medical benefits; conversion of any Market-based PRSUs and/or other performance-based RSUs outstanding as of the Change in Control into a cash award payable at time of termination equal to the product of the closing stock price on the closing date of the Change in Control and the sum of: (x) a pro rata portion (based on time of service as of the date of termination) of the unvested Market-based PRSU/performance-based RSU awards granted to Mr. Archer as adjusted for the Company’s performance (calculated as set forth in the award agreements) over the time of service and (y) the remainder of the pro-rata portion of unvested Market-based PRSU/performance-based RSU awards at target; vesting, as of the date of termination, of the unvested stock option or RSU awards that are not performance-based granted to Mr. Archer prior to the Change in Control; and payment of any amounts accrued as of the Change in Control under any then-existing Long Term Cash Programs, plus an amount equal to the remaining target amount under any then-existing Long Term Cash Programs. If the Company is acquired by another entity in connection with a Change in Control of the Company (as defined in Mr. Archer’s agreement) during the period of Mr. Archer’s employment, and there is or will be no market for the Company’s common stock, and if the acquiring company does not provide Mr. Archer with stock options and RSU awards comparable to the unvested stock option or RSU awards that are not performance-based that are granted to Mr. Archer prior to the Change in Control, then regardless of whether Mr. Archer’s employment is terminated, Mr. Archer will be entitled to the vesting, immediately prior to the Change in Control, of all such unvested stock option or RSU awards that are not performance-based that are granted to Mr. Archer prior to the Change in Control. If Mr. Archer’s employment is terminated due to disability or in the event of his death, Mr. Archer (or his estate) will be entitled to: (1) the pro rata amount he would have earned under the Short Term Program for the calendar year in which his employment is terminated had his employment continued until the end of such calendar year, such pro rata portion to be calculated based on the performance results achieved under the Short Term Program and the number of full months elapsed prior to the termination date; (2) payment of any amounts accrued as of the date of termination under any then-existing Long Term Cash Programs; (3) certain medical benefits; (4) vesting, as of the date of termination, of 50% of the unvested stock option, and RSU awards, which are not performance based, granted to Mr. Archer prior to the date of termination (or a pro rata amount, based on period of service, if greater than 50%); and (5) vesting, as of the date of termination, of 50% of the Market-based PRSU/performance-based RSU awards (or a pro rata amount, based on period of service, if greater than 50%) as adjusted for the Company’s performance during the service period (in either case) granted to Mr. Archer prior to the date of termination. If Mr. Archer voluntarily resigns, he will be entitled to no additional benefits (except as he may be eligible for under the Company’s Retiree Health Plans); stock options, RSUs and Market-based PRSUs/performance-based RSUs will cease to vest on the termination date; and stock options will be canceled unless they are exercised within 90 days after the termination date. All RSUs and Market-based PRSUs/performance-based RSUs will be canceled on the termination date. Mr. Archer’s agreement also subjects Mr. Archer to customary confidentiality and non-competition obligations during the term of the agreement, the application of the Company’s compensation recovery, or clawback, policy to any compensation, and non-solicitation obligations for a period of six months following the termination of his employment. The agreement also requires Mr. Archer to execute a release in favor of the Company to receive the payments described above. Douglas R. Bettinger. The Company and Mr. Bettinger entered into an employment agreement, or “Mr. Bettinger’s agreement,” with a term commencing on January 1, 2018 and ending on December 31, 2020, subject to the right of the Company or Mr. Bettinger, under certain circumstances, to terminate the agreement prior to such time. Mr. Bettinger’s agreement was amended on November 30, 2018 to reflect his 2019 compensation and special equity award described in further detail in “Compensation Discussion and Analysis - Compensation Relating to Management Transition” above. The terms of Mr. Bettinger’s agreement are substantively similar to those of Mr. Archer’s agreement, with the following material difference: Mr. Bettinger’s base salary was set initially in the latest amendment to the agreement at $640,000. The severance terms of Mr. Bettinger’s agreement are generally similar to those of Mr. Archer’s agreement, except that (1) Mr. Bettinger will receive 12-months base salary instead of 18-months in the event of his Involuntary Termination; and (2) instead of a payment of the Five-Year Average Amount, he will receive a payment of 50% of the Five-Year Average Amount. The Change in Control terms of Mr. Bettinger’s agreement are generally similar to those of Mr. Archer’s agreement, except that Mr. Bettinger will receive 18-months base salary instead of 24-months in the event of his Involuntary Termination. Richard A. Gottscho. The Company and Dr. Gottscho entered into an employment agreement, or “Dr. Gottscho’s agreement,” effective January 1, 2018, for a term ending on December 31, 2020, subject to the right of the Company or Dr. Gottscho, under certain circumstances, to terminate the agreement prior to such time. The terms of Dr. Gottscho’s agreement are substantively 46 similar to those of Mr. Bettinger’s agreement with the following material difference: under Dr. Gottscho’s agreement, his initial base salary at the beginning of the term of the agreement was set at $567,324. The severance and Change in Control terms of Dr. Gottscho’s agreement are also generally similar to those of Mr. Bettinger’s agreement. Patrick J. Lord. The Company and Dr. Lord entered into an employment agreement, or “Dr. Lord’s agreement,” effective September 8, 2020, for a term ending on December 31, 2020, subject to the right of the Company or Dr. Lord, under certain circumstances, to terminate the agreement prior to such time. The terms of Dr. Lord’s agreement are substantively similar to those of Mr. Bettinger’s agreement with the following material difference: under Dr. Lord’s agreement, his initial base salary at the beginning of the term of the agreement was set at $509,850. The severance and Change in Control terms of Dr. Lord’s agreement are also generally similar to those of Mr. Bettinger’s agreement. Prior to entering into Dr. Lord’s agreement, the Company and Dr. Lord were party to a change in control agreement with terms substantively similar to the the change in control agreement with Mr. Varadarajan that is described below under “Other Executive Agreements.” Other Executive Agreements The Company entered into a change in control agreement with Mr. Varadarajan effective January 1, 2018, or the “change in control agreement,” for a term ending on December 31, 2020, subject to the right of the Company or Mr. Varadarajan, under certain circumstances, to terminate the change in control agreement prior to such time. The change in control terms of Mr. Varadarajan’s agreement are generally similar to those contained in Mr. Archer’s agreement. The change in control agreement of Mr. Varadarajan contains confidentiality, non-competition, and non-solicitation terms that are substantively similar to those of Mr. Archer’s, Mr. Bettinger’s, Dr. Gottscho’s and Dr. Lord’s agreements, and require Mr. Varadarajan to execute a release in favor of the Company to receive the payments described in the previous paragraph. Equity Plans In addition to the above, certain of our stock plans provide for accelerated benefits after certain events. While the applicable triggers under each plan vary, these events generally include: (1) a merger or consolidation in which the Company is not the surviving entity, (2) a sale of substantially all of the Company’s assets, including a liquidation or dissolution of the Company, or (3) a change in the ownership of more than 50% of our outstanding securities by tender offer or similar transaction. After a designated event, the vesting of some or all of the awards granted under these plans may be immediately accelerated in full, or certain awards may be assumed, substituted, replaced, or settled in cash by a surviving corporation or its parent. The specific treatment of awards in a particular transaction will be determined by the Board and/or the terms of the applicable transaction documents. Potential Payments to Named Executive Officers upon Termination or Change in Control The tables below summarize the potential payments to our NEOs, assuming a change in control of the Company as of the end of fiscal year 2020. These amounts are calculated assuming that the employment termination or change in control occurs on the last day of fiscal year 2020, June 28, 2020. The closing price per share of our common stock on June 26, 2020, which was the last trading day of fiscal year 2020, was $302.52. The short-term incentive program pro rata amounts are calculated by multiplying the applicable pro rata percentage by the target. Actual performance will not be known until after the end of calendar year 2020. Figure 53. Potential Payments to NEOs upon Termination or Change in Control as of FYE2020 Potential Payments to Mr. Archer upon Termination or Change in Control as of June 28, 2020 Involuntary Termination Voluntary Termination ($) Disability or Death ($) For Cause ($) Not for Cause ($) Change in Control ($) Compensation Severance Short-term Incentive (5-year average) Short-term Incentive (pro rata) Long-term Incentives: Stock Options (Unvested and Accelerated) Service-based Restricted Stock Units (Unvested and Accelerated) — — — — — — — 656,250 5,036,627 4,996,420 Performance-based Restricted Stock Units (Unvested and Accelerated) — 11,486,684 Benefits and Perquisites Health Benefit Continuation/COBRA Benefit — 36,702 — — — — — — — 1,575,000 2,100,000 1,295,171 2,590,341 656,250 539,654 454,799 10,270,773 586,586 10,524,066 9,367,460 17,544,016 36,702 36,702 Total — 22,212,683 — 13,971,968 43,605,552 Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 47 Potential Payments to Mr. Bettinger upon Termination or Change in Control as of June 28, 2020 Compensation Severance Short-term Incentive (5-year average) Short-term Incentive (pro rata) Long-term Incentives: Stock Options (Unvested and Accelerated) Service-based Restricted Stock Units (Unvested and Accelerated) Performance-based Restricted Stock Units (Unvested and Accelerated) Benefits and Perquisites Health Benefit Continuation/COBRA Benefit Total Involuntary Termination Voluntary Termination ($) Disability or Death ($) For Cause ($) Not for Cause ($) Change in Control ($) — — — — — — — — — 274,667 582,422 6,321,458 4,407,716 — — — — — 659,200 988,800 389,171 1,167,512 274,667 324,309 177,873 1,253,792 239,596 12,882,814 — 3,768,925 6,553,993 25,235 — 25,235 25,235 — 11,611,498 — 5,534,667 23,196,455 Potential Payments to Dr. Gottscho upon Termination or Change in Control as of June 28, 2020 Compensation Severance Short-term Incentive (5-year average) Short-term Incentive (pro rata) Long-term Incentives: Stock Options (Unvested and Accelerated) Service-based Restricted Stock Units (Unvested and Accelerated) Performance-based Restricted Stock Units (Unvested and Accelerated) Benefits and Perquisites Involuntary Termination Voluntary Termination ($) Disability or Death ($) For Cause ($) Not for Cause ($) Change in Control ($) — — — — — — — — 223,512 497,974 1,017,375 4,249,801 — — — — — 596,031 894,047 389,149 1,167,446 223,512 324,291 160,560 1,094,679 232,940 2,300,967 — 3,677,740 6,184,368 Health Benefit Continuation/Retiree Health Plans 673,000 673,000 673,000 673,000 673,000 Total 673,000 6,661,662 673,000 5,952,932 12,638,798 Potential Payments to Dr. Lord upon Termination or Change in Control as of June 28, 2020 (1) Involuntary Termination Voluntary Termination ($) Disability or Death ($) For Cause ($) Not for Cause ($) Change in Control ($) — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — — 764,775 813,011 225,836 869,360 2,012,666 5,092,358 25,235 9,803,241 Compensation Severance Short-term Incentive (5-year average) Short-term Incentive (pro rata) Long-term Incentives: Stock Options (Unvested and Accelerated) Service-based Restricted Stock Units (Unvested and Accelerated) Performance-based Restricted Stock Units (Unvested and Accelerated) Benefits and Perquisites Health Benefit Continuation/COBRA Benefit Total 48 Potential Payments to Mr. Varadarajan upon Termination or Change in Control as of June 28, 2020 Compensation Severance Short-term Incentive (5-year average) Short-term Incentive (pro rata) Long-term Incentives: Stock Options (Unvested and Accelerated) Service-based Restricted Stock Units (Unvested and Accelerated) Performance-based Restricted Stock Units (Unvested and Accelerated) Benefits and Perquisites Health Benefit Continuation/COBRA Benefit Total Involuntary Termination Voluntary Termination ($) Disability or Death ($) For Cause ($) Not for Cause ($) Change in Control ($) — — — — — — — — — — — — — — — — — — — — — — — — — — — 720,588 757,832 210,509 — 763,249 — 1,754,616 — 4,475,186 — 23,581 — 8,705,561 (1) The table summarizing the potential payments to Dr. Lord assumes that the employment termination or change in control occurs on the last day of fiscal year 2020, June 28, 2020. Following the end of fiscal year 2020, the Company and Dr. Lord entered into an employment agreement, as described above under “Potential Payments upon Termination or Change in Control—Executive Employment Agreements,” which replaced Dr. Lord’s prior change in control agreement. If Dr. Lord’s employment agreement had been in effect as of June 28, 2020, the potential payments to Dr. Lord would have been as follows: Potential Payments to Dr. Lord upon Termination or Change in Control as of June 28, 2020 Compensation Severance Short-term Incentive (5-year average) Short-term Incentive (pro rata) Long-term Incentives: Stock Options (Unvested and Accelerated) Service-based Restricted Stock Units (Unvested and Accelerated) Performance-based Restricted Stock Units (Unvested and Accelerated) Benefits and Perquisites Health Benefit Continuation/COBRA Benefit Total Elective Deferred Compensation Plan Involuntary Termination Voluntary Termination ($) Disability or Death ($) For Cause ($) Not for Cause ($) Change in Control ($) — — — — — — — — — — 191,194 397,122 905,140 — — — — — 509,850 764,775 271,004 813,011 191,194 225,836 126,491 869,360 180,907 2,012,666 3,447,215 — 2,893,643 5,092,358 25,235 — 25,235 25,235 4,965,906 — 4,198,324 9,803,241 As described above in “Compensation Discussion and Analysis - Primary Components of NEO Compensation; CY2019 Compensation Payouts; CY2020 Compensation Targets and Metrics - Other Benefits Not Available to All Employees - Elective Deferred Compensation Plan”, the Company maintains an Elective Deferred Compensation Plan in which all of the NEOs are eligible to participate. In addition to the potential payments shown in Figure 53, in the event of a Change in Control (as defined in the Elective Deferred Compensation Plan), all amounts credited to a participating NEO’s account (other than amounts contributed through December 31, 2004, and earnings thereon) will be distributed in a lump sum payment on the first business day of the eighteenth month following such Change in Control. The balance, and applicable amounts, of each NEO’s account as of the end of fiscal year 2020 are set forth in Figure 52, “FY2020 Non-Qualified Deferred Compensation”. Under the Elective Deferred Compensation Plan, amounts may be withdrawn or distributed from the plan through pre-scheduled payments or upon death, retirement, disability or a separation from service, as elected in advance by the participant in accordance with the terms of the plan. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 49 CEO Pay Ratio In accordance with SEC rules, we are providing the ratio of the annual total compensation of our Chief Executive Officer, or the CEO, to the median of the annual total compensation of our employees (other than the CEO). The fiscal year 2020 annual total compensation of our CEO, Mr. Archer, was $11,753,004, the fiscal year 2020 annual total compensation of our median compensated employee (other than the CEO) was $104,541, and the ratio of these amounts was 112 to 1. This pay ratio is a reasonable estimate calculated in a manner consistent with SEC rules based on our human resources system of record and the methodology described below. Because the SEC rules for identifying the median compensated employee and calculating the pay ratio based on that employee’s annual total compensation allow companies to adopt a variety of methodologies, to apply certain exclusions, and to make reasonable estimates and assumptions that reflect their compensation practices, the pay ratio reported by other companies may not be comparable to the pay ratio reported above, as other companies may have different employment and compensation practices and may utilize different methodologies, exclusions, estimates, and assumptions in calculating their own pay ratios. As permitted under the SEC rules, we are using the same median employee identified for purposes of our fiscal year 2019 and 2018 CEO pay ratios, as we believe the changes to our employee population and compensation have not significantly impacted our pay ratio. For purposes of identifying our median compensated employee in fiscal year 2018, we used our global employee population as of June 24, 2018, identified based on our human resources system of record. We used total direct compensation as our consistently applied compensation measure for such population. In this context, total direct compensation means the sum of the applicable annual base salaries determined as of June 24, 2018, the incentive cash target amount payable for service in calendar year 2018, and the approved value of the annual equity awards granted during fiscal year 2018 for our global employee population. We annualized the annual base salary and incentive cash target amounts for all employees who did not work for the entire year. Given its global population, the Company used the foreign currency exchange rates in effect at the end of fiscal year 2018 to determine the annual total direct compensation and therefore the median compensated employee. After identifying our median compensated employee, we then calculated the annual total direct compensation for our median compensated employee using the same methodology used for the Company’s CEO as set forth in the “Summary Compensation Table” of this proxy statement. Securities Authorized for Issuance under Equity Compensation Plans The following table provides information, as of June 28, 2020, regarding securities authorized for issuance under the Company’s equity compensation plans. The Company’s equity compensation plans include the 1999 Employee Stock Purchase Plan, the 2007 Stock Incentive Plan, the 2011 Stock Incentive Plan, and the 2015 Stock Incentive Plan, each as amended and as may be amended. Since November 4, 2015, the Company has issued awards under the 1999 Employee Stock Purchase Plan and the 2015 Stock Incentive Plan, each as amended. The 1999 Employee Stock Purchase Plan was amended and restated by the Board on August 29, 2018 and approved at the 2018 Annual Meeting of Stockholders. Please see “Proposal No. 3: Approval of the Adoption of the Lam Research Corporation 1999 Employee Stock Purchase Plan, as Amended and Restated” in the 2018 proxy statement for additional information. 50 Figure 54. FYE2020 Securities Authorized for Issuance under Equity Compensation Plans Plan Category Equity compensation plans approved by security holders Equity compensation plans not approved by security holders Total Number of Securities to be Issued Upon Exercise of Outstanding Options, Warrants, and Rights (a) Weighted-Average Exercise Price of Outstanding Options, Warrants, and Rights(1) ($) (b) Number of Securities Remaining Available for Future Issuance Under Equity Compensation Plans (excluding securities reflected in column (a)) (c) 2,235,376 (2) 49,385 (4) 2,284,761 158.01 47.99 144.63 15,325,477 (3) — 15,325,477 (1) Does not include RSUs, including service-based RSUs and market-based PRSUs. (2) Includes 16,134 shares issuable upon service-based RSUs vesting or stock option exercises under the Company’s 2007 Stock Incentive Plan, as amended, or the “2007 Plan,” and 2,219,242 shares issuable upon service-based RSUs vesting, market-based PRSUs vesting or stock option exercises under the Company’s 2015 Stock Incentive Plan, or the “2015 Plan.” The 2007 Plan was adopted by the Board in August 2006, was approved by Lam’s stockholders in November 2006, was amended by the Board in November 2006 and May 2013, and was retired in November 2015, when Lam’s stockholders approved the Company’s 2015 Plan. The term of the 2007 Plan and 2015 Plan was 10 years from the last date of any approval, amendment, or restatement of the plan by the Company’s stockholders. The 2015 Plan reserves for issuance up to 18,000,000 shares of the Company’s common stock. The 2,235,376 share total for plans approved by security holders, and the 2,284,761 shares shown as the total for all plan categories, assume shares will be issued at the maximum vesting amount for outstanding market-based PRSUs. In contrast, the number of shares reported as subject to outstanding awards in Note 5 to the Consolidated Financial Statements of the Company’s annual report on Form 10-K for the fiscal year ended June 28, 2020 assumes that, for outstanding market-based PRSUs, shares will be issued at the target vesting amount. The number of shares issuable at the maximum vesting amount for outstanding market-based PRSUs is 157,250 shares greater than the number of shares issuable at the target vesting amount. (3) Includes 8,909,055 shares available for future issuance under the 2015 Plan and 6,416,422 shares available for future issuance under the 1999 Employee Stock Purchase Plan, as amended, or the “1999 ESPP.” All of the shares available for future issuance under the 1999 ESPP are available to purchase during the current purchase period, but the actual number of shares that can be purchased depends on the purchase price, which is not fixed until the end of the purchase period, and is subject to limits on purchases by individuals. The number of shares that may be purchased by an individual in the current purchase period under the 1999 ESPP cannot exceed 10,000 shares and the total fair market value of shares that can be purchased by an individual during a calendar year cannot exceed $25,000. The 1999 ESPP was adopted by the Board in September 1998, was approved by Lam’s stockholders in November 1998, was amended by stockholder approval in November 2003, was amended by stockholder approval in November 2012, and was most recently amended by the Board in August 2018. The term of the 1999 ESPP is 20 years from its effective date of August 29, 2018, unless otherwise terminated or extended in accordance with its terms. (4) Includes 49,385 shares issuable upon stock option exercises under the Company’s 2011 Stock Incentive Plan, as amended, or the “2011 Plan.” As part of the acquisition of Novellus Systems, Inc., Lam assumed the Novellus Systems, Inc. 2011 Stock Incentive Plan. The 2011 Plan was approved by Novellus shareholders before the merger but has not been approved by a separate vote of Lam stockholders. The 2011 Plan was amended by the Board in July 2012. The term of the 2011 Plan was 10 years from its effective date of May 10, 2011, unless otherwise terminated or extended in accordance with its terms, and the 2011 Plan was retired in November 2015 when the 2015 Plan was approved by stockholders. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 51 Audit Matters Audit Committee Report The audit committee operates under a written charter adopted by the Board that outlines its purpose and responsibilities. The audit committee reviews and assesses the adequacy of its charter at least annually and, when appropriate, recommends to the Board changes to its charter to reflect the evolving role of the audit committee. The charter of the audit committee is available on the Investors section of our website at https://investor.lamresearch.com/corporate-governance. The audit committee is composed entirely of directors who meet the independence requirements of Nasdaq and the SEC, and who otherwise satisfy the requirements for audit committee service imposed by the Exchange Act. The Board has designated all of the audit committee members as “audit committee financial experts” under the SEC rules. The Company’s management, audit committee, and independent registered public accounting firm (Ernst & Young LLP) have specific but different responsibilities relating to Lam’s financial reporting. Lam’s management is responsible for the preparation, presentation and integrity of financial statements and for the system of internal control and the financial reporting process. Ernst & Young LLP, or “EY,” has the responsibility to express an opinion on the financial statements and the system of internal control over financial reporting, based on the audit they conducted in accordance with the standards of the Public Company Accounting Oversight Board (U.S.). The audit committee is responsible for monitoring and overseeing these processes. The audit committee relies on the expertise and knowledge of management, the internal audit department, and the independent auditor in carrying out its oversight responsibilities. In accordance with applicable law, the audit committee has ultimate authority and responsibility for selecting, compensating, evaluating, and, when appropriate, replacing the Company’s independent audit firm, and evaluates its independence. The audit committee has the authority to engage its own outside advisors, including experts as the committee considers necessary to carry out its responsibilities, apart from counsel or advisors hired by management. In this context and in connection with the audited financial statements contained in the Company’s Annual Report on Form 10-K for the fiscal year ended June 28, 2020, the audit committee took the following actions: • Received and discussed the audited financial statements with Company management; • Discussed with EY the matters required to be discussed by applicable requirements of the Public Company Accounting Oversight Board, or the “PCAOB,” and the SEC; • Received and discussed the written disclosures and the letter from EY as per applicable requirements of the PCAOB regarding the independent registered public accounting firm’s communications with the audit committee concerning independence, and discussed with EY its independence; and • Based on the foregoing reviews and discussions, recommended to the Board that the audited financial statements be included in the Company’s 2020 Annual Report on Form 10-K for the fiscal year ended June 28, 2020 for filing with the SEC. This Audit Committee Report shall not be deemed “filed” with the SEC for purposes of federal securities law, and it shall not, under any circumstances, be incorporated by reference into any of the Company’s past or future SEC filings. The report shall not be deemed soliciting material. MEMBERS OF THE AUDIT COMMITTEE Eric K. Brandt (Chair) Michael R. Cannon Bethany J. Mayer Leslie F. Varon 52 Relationship with Independent Registered Public Accounting Firm EY has audited the Company’s consolidated financial statements since the Company’s inception. Annual Evaluation and Selection of Independent Registered Public Accounting Firm The audit committee annually evaluates the performance of the Company’s independent registered public accounting firm, including the senior audit engagement team, and determines whether to reengage the current accounting firm or consider other audit firms. Factors considered by the audit committee in deciding whether to retain EY include: (1) EY’s global capabilities to handle the breadth and complexity of the Company’s global operations; (2) EY’s technical expertise and knowledge of the Company’s industry and global operations; (3) the quality and candor of EY’s communications with the audit committee and management; (4) EY’s independence; (5) the quality and efficiency of the services provided by EY, including input from management on EY’s performance and how effectively EY demonstrated its independent judgment, objectivity and professional skepticism; (6) the appropriateness of EY’s fees; and (7) EY’s tenure as our independent auditor, including the benefits of that tenure, and the controls and processes in place (such as rotation of key partners) that help ensure EY’s continued independence in light of such tenure. Figure 55. Independent Registered Public Accounting Firm Evaluation and Selection Highlights Independence Controls Audit Committee Oversight – Oversight includes regular private sessions with EY, discussions with EY about the scope of its audit and business imperatives, a comprehensive annual evaluation when determining whether to engage EY, and direct involvement by the audit committee and its chair in the selection of a new global coordinating partner in connection with the mandated rotation of this position. Limits on Non-Audit Services – The audit committee preapproves audit and permissible non-audit services provided by EY in accordance with its pre-approval policy. EY’s Internal Independence Process – EY conducts periodic internal reviews of its audit and other work, assesses the adequacy of partners and other personnel working on the Company’s account, and rotates the lead assurance engagement partner, the global coordinating partner, and other partners on the engagement consistent with independence and rotation requirements established by the PCAOB and SEC. Strong Regulatory Framework – EY, as an independent registered public accounting firm, is subject to PCAOB inspections, “Big 4” peer reviews and PCAOB and SEC oversight. Benefits of Longer Tenure Enhanced Audit Quality – EY’s significant institutional knowledge of, and deep expertise in, the Company’s semiconductor equipment industry and global business, accounting policies and practices, and internal control over financial reporting enhances audit quality. Competitive Fees – Because of EY’s familiarity with the Company and the industry, audit and other fees are competitive with peer independent registered public accounting firms. Avoid Costs Associated with New Auditor – Bringing on a new independent registered public accounting firm would be costly and require a significant time commitment, which could lead to management distractions. Fees Billed by EY The table below shows the fees billed by EY for audit and other services provided to the Company in fiscal years 2020 and 2019. Figure 56. FY2020/2019 Fees Billed by Ernst & Young LLP Audit Fees (1) Audit-Related Fees (2) Tax Fees (3) All Other Fees TOTAL Fiscal Year 2020 ($) Fiscal Year 2019 ($) 4,504,880 7,000 211,416 — 4,723,296 4,703,830 27,000 194,170 — 4,925,000 (1) Audit Fees represent fees for professional services provided in connection with the audits of annual financial statements. Audit Fees also include reviews of quarterly financial statements, audit services related to other statutory or regulatory filings or engagements, and fees related to EY’s audit of the effectiveness of the Company’s internal control over financial reporting pursuant to section 404 of the Sarbanes-Oxley Act. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 53 (2) Audit-Related Fees represent fees for assurance and related services that are reasonably related to the audit or review of the Company’s financial statements and are not reported above under “Audit Fees”. These fees principally include due diligence and accounting consultation fees in connection with an information systems audit in 2019. (3) Tax Fees represent fees for professional services for tax planning, tax compliance and review services related to foreign tax compliance and assistance with tax audits and appeals. The audit committee reviewed summaries of the services provided by EY and the related fees during fiscal year 2020 and has determined that the provision of non-audit services was compatible with maintaining the independence of EY as the Company’s independent registered public accounting firm. The audit committee or its delegate approved 100% of the services and related fee amounts for services provided by EY during fiscal year 2020. Policy on Audit Committee Pre-Approval of Audit and Non-Audit Services It is the responsibility of the audit committee to approve, in accordance with sections 10A(h) and (i) of the Exchange Act and the rules and regulations of the SEC, all professional services to be provided to us by our independent registered public accounting firm, provided that the audit committee may not approve any non-audit services proscribed by section 10A(g) of the Exchange Act in the absence of an applicable exemption. It is our policy that the audit committee pre-approves all audit and permissible non-audit services provided by our independent registered public accounting firm, consistent with the criteria set forth in the audit committee charter and applicable laws and regulations. The audit committee has delegated to the chair of the audit committee the authority to pre-approve such services, provided that the chair shall report any decisions to pre-approve such services to the full audit committee at its next regular meeting. These services may include audit services, audit-related services, tax services, and other services. Our independent registered public accounting firm and our management are required to periodically report to the audit committee regarding the extent of services provided by our independent registered public accounting firm pursuant to any such pre-approval. Certain Relationships and Related Party Transactions The audit committee is responsible for the review and oversight of all related party transactions required to be disclosed to the public under SEC rules pursuant to its written charter. In addition, the Company maintains a written code of ethics that requires all employees, officers and directors to act ethically when handling any actual or apparent conflicts of interest in personal and professional relationships and to promptly report any such issues to the Company’s legal department. No family relationships exist as of the date of this proxy statement or existed during fiscal year 2020 among any of our directors and executive officers. There were three related party transactions (including employment and compensation associated therewith) that occurred since the beginning of fiscal year 2020: • The son of Stephen G. Newberry, who was the former chairman of our Board prior to his retirement from the Board effective as of November 4, 2019, Ryan Newberry, is employed by the Company as a manager of security. • The daughter-in-law of Stephen G. Newberry, Meghan Newberry, is employed by the Company as a manager of materials in the supply chain operations group. • The brother-in-law of Ava Hahn, our Senior Vice President, Chief Legal Officer and Secretary, Eric Samulon, is employed by the Company as a senior manager of product development in the etch business unit. In fiscal year 2020, the aggregate compensation paid to Ryan Newberry, Meghan Newberry and Eric Samulon, including salary, incentive compensation, the grant date value of long-term incentive awards and the value of any health and other benefits contributed to or paid for by the Company, was less than $250,000 each. The aggregate compensation for each is similar to the aggregate compensation of other employees holding equivalent positions. 54 Voting Proposals Proposal No. 1: Election of Directors This first proposal relates to the election to the Board of nine nominees who are directors of the Company as of the date of this proxy statement. In general, the nine nominees identified in this proposal who receive the highest number of “for” votes will be elected. However, any nominee who fails to receive affirmative approval from holders of a majority of the votes cast in such nominee’s election at the annual meeting, either by proxy or in person, will not be elected to the Board, even if he or she is among the top nine nominees in total “for” votes. This requirement reflects the majority vote provisions implemented by the Company in November 2009. The term of office of each person elected as a director will be until the next annual meeting of stockholders, or until his or her successor is elected and qualified or his or her earlier resignation or removal. Unless otherwise instructed, the people named on the proxy card as proxy holders, the “Proxy Holders,” will vote the proxies received by them for the nine nominees named below, each of whom is currently a director of the Company. The proxies cannot be voted for more than nine nominees, whether or not there are additional nominees. If any nominee of the Company should decline or be unable to serve as a director as of the time of the annual meeting, then unless otherwise instructed, the proxies will be voted for any substitute nominee designated by the then-current Board to fill the vacancy. The Company is not aware of any nominee who will be unable, or will decline, to serve as a director. The nominees for election or reelection have been nominated for election to the Board in accordance with the criteria and procedures discussed above in “Governance Matters - Corporate Governance.” Board Size. The nine directors to be elected in this proposal are fewer than the 10 members of the Board as of the date of mailing. As is discussed above in “Governance Matters - Corporate Governance,” one of our current directors, Dr. El-Mansy, is retiring from the Board effective as of November 1, 2020, and the size of the Board will be reduced to nine prior to the 2020 annual meeting. Information Regarding Each Nominee. In addition to the biographical information concerning each nominee’s specific experience, attributes, positions and qualifications and age as of September 4, 2020, we believe that each of our nominees, while serving as a director and/or officer of the Company, has devoted adequate time to the Board and performed his or her duties with critical attributes such as honesty, integrity, wisdom, and an adherence to high ethical standards. Each nominee has demonstrated strong business acumen, an ability to make independent analytical inquiries, to understand the Company’s business environment and to exercise sound judgment, as well as a commitment to the Company and its core values. We believe the nominees have diverse viewpoints, skills, backgrounds, and experiences that will encourage a robust decision-making process for the Board. THE BOARD OF DIRECTORS RECOMMENDS A VOTE “FOR” EACH OF THE NINE DIRECTOR NOMINEES SET FORTH BELOW. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 55 2020 Nominees for Director Mr. Ahmed is the former Senior Vice President and General Manager of the Technology and Manufacturing Group at Intel Corporation, a leading producer of microchips, computing and communications products, where he was responsible for overseeing the research and development and deployment of next-generation silicon logic technologies for production of future Intel microprocessors. He held that position from January 2015 to October 2018. Immediately prior to that, he was Corporate Vice President and General Manager, Logic Technology Department at Intel from 2004 to January 2015. Mr. Ahmed joined Intel in 1984, working as a process engineer, and held progressive technical and management positions in logic process development. Mr. Ahmed earned an M.S. degree in chemical engineering from the University of California, Davis, and a B.S. degree in chemical engineering from the University of Southern California. The Board has concluded that Mr. Ahmed should serve as a director of the Company because of his extensive knowledge and experience acquired as an executive of a major semiconductor manufacturer focused on next-generation silicon logic technologies, his deep knowledge and understanding of semiconductor processing equipment technologies, and his experience as a senior executive of a major Company customer. Timothy M. Archer has served as the Company’s President and Chief Executive Officer since December 5, 2018. Mr. Archer joined the Company in June 2012 as our executive vice president, chief operating officer; and was promoted to president and chief operating officer in January 2018. Prior to joining us, he spent 18 years at Novellus Systems, Inc. in various technology development and business leadership roles, including most recently as chief operating officer from January 2011 to June 2012; executive vice president of Worldwide Sales, Marketing, and Customer Satisfaction from September 2009 to January 2011; and executive vice president of the PECVD and Electrofill Business Units from November 2008 to September 2009. His tenure at Novellus also included assignments as senior director of technology for Novellus Systems Japan from 1999 to 2001 and senior director of technology for the Electrofill Business Unit from April 2001 to April 2002. He started his career in 1989 at Tektronix, where he was responsible for process development for high-speed bipolar integrated circuits. Mr. Archer completed the Program for Management Development at the Harvard Graduate School of Business and earned a B.S. degree in applied physics from the California Institute of Technology. The Board has concluded that Mr. Archer should serve as a director of the Company because of his strong leadership; his knowledge and experience acquired from his current service as President, Chief Executive Officer and a director of the Company, and his past service as President and Chief Operating Officer, and as Executive Vice President and Chief Operating Officer of the Company; his deep knowledge and understanding of semiconductor processing equipment technologies; his understanding of our customers’ markets and needs; and his mergers and acquisitions experience. Sohail U. Ahmed Director since 2019 Age 62 Timothy M. Archer Director since 2018 Age 53 56 Eric K. Brandt Director since 2010 Age 58 Board Committees: • Audit ° Chair since 2014 ° Member: 2010-2014 • Nominating and Governance ° Member since 2019 Public company director- ships in last five years: • NortonLifeLock, Inc. • Dentsply Sirona Inc. • The Macerich Company • Altaba Inc. (former) • Yahoo! Inc. (former) Eric K. Brandt is the former Executive Vice President and Chief Financial Officer of Broadcom Corporation, a global supplier of semiconductor devices, a position he held from March 2007 until its merger with Avago Technologies Limited in February 2016. From September 2005 to March 2007, Mr. Brandt served as President and Chief Executive Officer of Avanir Pharmaceuticals, Inc., a pharmaceutical company. Prior to Avanir Pharmaceuticals, Mr. Brandt was Executive Vice President-Finance and Technical Operations and Chief Financial Officer of Allergan Inc., a global specialty pharmaceutical company, where he also held a number of other senior positions following his arrival there in May 1999. Mr. Brandt has served as a member of the board of directors of: NortonLifeLock, Inc., a consumer cyber security provider, since February 2020, where he is the chair of the audit committee; The Macerich Company, a real estate investment trust focused on regional malls, since June 2018, where he is a member of the compensation committee; Altaba Inc. (formerly Yahoo! Inc.), a management investment company that remained and was subsequently renamed following the completion of Yahoo!’s sale of its operating businesses in June 2017 (and which is in the process of a stockholder approved plan of dissolution and liquidation), since its inception, where he has served as chairman of the board, chair of the audit committee and nominating and governance committee, and a member of the compensation committee; and Dentsply Sirona Inc. (formerly Dentsply International, Inc.), a manufacturer and distributor of dental product solutions, since 2004, where he is the non-executive chairman of the board, chair of the executive committee, and a member of the corporate governance and nominating committee, and has served as a member of the human resources committee and the audit and finance committee. He previously served on the board of directors of: MC10, Inc., a privately-held medical device Internet of Things (IoT) company, from March 2016 until February 2018, where he was chair of the compensation committee and governance committee; Yahoo! Inc., a digital information discovery company, since March 2016 to June 2017, where he was chairman of the board and chair of the audit and finance committee; Vertex Pharmaceuticals, Inc., a pharmaceutical company, from 2002 to 2009, where he was chair of the audit committee, and a member of the nominating and governance committee; and Avanir Pharmaceuticals from 2005 to 2007. Mr. Brandt earned an M.B.A. degree from the Harvard Graduate School of Business and a B.S. degree in chemical engineering from the Massachusetts Institute of Technology. The Board has concluded that Mr. Brandt should serve as a director of the Company because of his financial expertise including as a former chief financial officer of a publicly traded company that is a customer of our customers; his knowledge of and experience in the semiconductor industry and other technology industries; his mergers and acquisitions experience; his board governance experience from service on other public company boards, including as an audit committee member and chair, a compensation committee member and a nominating and governance committee member and chair; and his cybersecurity expertise. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 57 Michael R. Cannon is the General Partner of MRC & LBC Partners, LLC, a private management consulting company. From February 2007 until his retirement in January 2009, Mr. Cannon served as President of Global Operations of Dell Inc., a computer systems manufacturer and services provider; and from January 2009 to January 2011, he served as a consultant to Dell. Prior to joining Dell, he was President and Chief Executive Officer of Solectron Corporation, an electronic manufacturing services company, from January 2003 to February 2007. From July 1996 to January 2003, Mr. Cannon served as President and Chief Executive Officer of Maxtor Corporation, a disk drive and storage systems manufacturer. Prior to joining Maxtor, Mr. Cannon held senior management positions at International Business Machines Corp. (IBM), a global services, software and systems company. Mr. Cannon has served as a member of the board of directors of: Seagate Technology Public Limited, a disk drive and storage solutions company, since February 2011, where he became chairman of the board in July 2020, is a member of the nominating and corporate governance committee and the compensation committee, and has served as lead independent director, as the chair of the nominating and corporate governance committee, and as a member of the audit and finance committees; and Dialog Semiconductor, a mixed signal integrated circuits company, since February 2013, where he is a member of the remuneration committee and the nomination committee and has served as the chair of the remuneration committee. He previously served on the board of directors of Adobe Systems Inc., a diversified software company, from December 2003 to April 2016, where he had been a member of the audit committee and chair of the compensation committee; Elster Group SE, a precision metering and smart grid technology company, from October 2010 until the company was acquired in August 2012; Solectron Corporation, an electronic manufacturing services company, from January 2003 to January 2007; and Maxtor Corporation, a disk drive and storage solutions company, from July 1996 until Seagate acquired Maxtor in May 2006. Mr. Cannon studied mechanical engineering at Michigan State University and completed the Advanced Management Program at the Harvard Graduate School of Business. The Board has concluded that Mr. Cannon should serve as a director of the Company because of his industry knowledge; his marketing experience; his experience as President at a public corporation that is a customer of our customers; his finance experience; his 20 years of international business experience; his experience with mergers and acquisitions; and his extensive board experience as a director on other public company boards, including service on audit, compensation and nominating and governance committees. Michael R. Cannon Director since 2011 Age 67 Board Committees: • Audit ° Member since 2011 • Nominating and Governance ° Chair since 2019 ° Member 2011-2019 Public company director- ships in last five years: • Dialog Semiconductor • Seagate Technology Public Limited • Adobe Systems Inc. (former) 58 Catherine P. Lego Director since 2006 Age 63 Board Committees: • Audit ° Chair: 2009 – 2014 ° Member: 2006 – 2015 • Compensation and Human Resources ° Chair since 2015 • Nominating and Governance ° Member since 2014 Public company director- ships in last five years: • Cirrus Logic, Inc. • Guidewire Software, Inc. • IPG Photonics Corporation • Cypress Semiconductor Corp. (former) • Fairchild Semiconductor International Inc. (former) • SanDisk Corporation (former) Catherine P. Lego is the founder of Lego Ventures LLC, a consulting services firm for early stage electronics companies, which she operated from 1992 until December 2018. From December 1999 to December 2009, she was the General Partner of The Photonics Fund, LLP, an early stage venture capital investment firm focused on investing in components, modules and systems companies for the fiber optics telecommunications market, which she founded. Ms. Lego was a general partner at Oak Investment Partners, a venture capital firm, from 1981 to 1992. Prior to Oak Investment Partners, she practiced as a Certified Public Accountant with Coopers & Lybrand, an accounting firm. Ms. Lego has served as a member of the board of directors of: Cirrus Logic, Inc., a fabless semiconductor supplier that specializes in analog, mixed-signal, and audio digital signal processing integrated circuits, since April 2020, where she is a member of the nominating and governance committee; Guidewire Software, Inc., an industry platform provider for property and casualty insurers, since September 2019, where she is the chair of the audit committee and a member of the nominating and corporate governance committee; and IPG Photonics Corporation, a high-power fiber laser and amplifier company for diverse applications, since July 2016, where she is a member of the audit committee and chair of the compensation committee. She previously served on the board of directors of the following public companies: Cypress Semiconductor Corp., an advanced embedded solutions company for automotive and other products, from September 2017 to April 2020, where she was the chair of the audit committee and a member of the nominating and corporate governance committee; Fairchild Semiconductor International Inc., a fabricator of power management devices, from August 2013 to September 2016, where she was a member of the compensation committee and nominating and governance committee; SanDisk Corporation, a global developer of flash memory storage solutions from 1989 to 2016, where she was the chair of the audit committee; ETEC Corporation, a producer of electron beam lithography tools, from 1991 through 1997; Uniphase Corporation (presently JDS Uniphase Corporation), a designer and manufacturer of components and modules for the fiber optic based telecommunications industry and laser-based semiconductor defect examination and analysis equipment, from 1994 until 1999, when it merged with JDS Fitel; Zitel Corporation, an information technology company, from 1995 to 2000; WJ Communications, Inc., a broadband communications company, from October 2004 to May 2008; and Micro Linear Corporation, a fabless analog semiconductor company. Ms. Lego also served as a member of the board of directors of other technology companies that are privately-held. Ms. Lego earned an M.S. degree in accounting from the New York University Leonard N. Stern School of Business and a B.A. degree in economics and biology from Williams College. The Board has concluded that Ms. Lego should serve as a director of the Company because of her experience on our Board, her substantial accounting and finance expertise, her knowledge of the electronics and semiconductor industries, her experience on boards of companies that are customers of our customers, her experience with mergers and acquisitions, and her board governance experience on other boards, including her service as a former chairman of an audit committee and current member of audit, compensation committee and nominating and governance committees. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 59 Bethany J. Mayer has served as an Executive Partner of Siris Capital Group LLC, a private equity firm, since January 2018. She was the Executive Vice President, Corporate Development and Technology of Sempra Energy, an energy services holding company, from November 2018 to January 2019. From September 2014 to December 2017, Ms. Mayer was the President and Chief Executive Officer of Ixia, a test, visibility, security solutions, network testing tools and virtual network security solutions provider for applications across physical and virtual networks that was ultimately acquired by Keysight Technologies in 2017. From May 2011 to May 2014, Ms. Mayer served as Senior Vice President and General Manager of Hewlett-Packard Company’s (HP) Networking business unit and the Network Function Virtualization business unit. From 2010 until 2011, she served as Vice President, Worldwide Marketing and Alliances of HP’s Enterprise Servers Storage and Networking Group. Prior to joining HP, she held leadership roles at Blue Coat Systems, Inc., a hardware, software, and services provider for cybersecurity and network management; Cisco Systems, Inc., an internet technology company; and Apple Computer, Inc., a technology company. She has served as a member of the boards of directors of: Box, Inc., a cloud content management and file sharing service for businesses, since April 2020, where she is the chair of the compensation committee and a member of the operating committee; Sempra Energy since June 2019 after serving from February 2017 to November 2018, where she is the chair of the environmental, health, safety and technology committee and a member of the executive committee; Marvell Technology Group Ltd, a infrastructure semiconductor solutions company, since May 2018, where she is a member of the audit committee; Pulse Secure, LLC, a privately-held provider of access and mobile security solutions to both enterprises and service providers, since September 2019, where she is the chairperson of the board, and where she previously served as a member from January 2018 to November 2018; and Electronics for Imaging Inc., a privately held print technology company, since July 2019. Ms. Mayer previously served on the boards of directors of: SnapRoute, Inc., a privately-held developer of open source network stacks for enterprises, from May 2018 to July 2019; DataStax, Inc., a privately-held database software provider for cloud applications, from May 2018 to April 2019; Delphi Automotive PLC, an auto parts supplier, from August 2015 to April 2016; and Ixia from September 2014 to December 2017. Ms. Mayer earned an M.B.A. degree from CSU-Monterey Bay and a B.S. degree in political science from Santa Clara University. The Board has concluded that Ms. Mayer should serve as a director of the Company because of her leadership skills and her experience in operational roles at companies in various technology industries, including networks, network management, servers, security solutions, cybersecurity and internet technology; and her board governance experience from service on other boards. Bethany J. Mayer Director since 2019 Age 58 Board Committees: • Audit °Member since 2019 Public company director- ships in last five years: • Box, Inc. • Marvell Technology Group Ltd. • Sempra Energy • Delphi Automotive PLC (former) • Ixia (former) 60 Abhijit Y. Talwalkar Chairman Director since 2011 Age 56 Board Committees: • Compensation and Human Resources ° Chair: 2012 – 2015 ° Member since 2015 • Nominating and Governance ° Chair: 2015 – 2019 ° Member since 2019, previously 2015 – 2015 Public company director- ships in last five years: • Advanced Micro Devices Inc. • iRhythm Technologies Inc. • TE Connectivity Ltd. Abhijit Y. Talwalkar is the former President and Chief Executive Officer of LSI Corporation, a leading provider of silicon, systems and software technologies for the storage and networking markets, a position he held from May 2005 until the completion of LSI’s merger with Avago Technologies in May 2014. From 1993 to 2005, Mr. Talwalkar was employed by Intel Corporation, a leading producer of microchips, computing and communications products. At Intel, he held a number of senior management positions, including as Corporate Vice President and Co-General Manager of the Digital Enterprise Group, which was comprised of Intel’s business client, server, storage and communications business, and as Vice President and General Manager for the Intel Enterprise Platform Group, where he focused on developing, marketing, and supporting Intel business strategies for enterprise computing. Prior to joining Intel, Mr. Talwalkar held senior engineering and marketing positions at Sequent Computer Systems, a multiprocessing computer systems design and manufacturer that later became a part of IBM; Bipolar Integrated Technology, Inc., a very-large-scale integration (VLSI) bipolar semiconductor company; and Lattice Semiconductor Inc., a service driven developer of programmable design solutions widely used in semiconductor components. Mr. Talwalkar has served as a member of the board of directors of: Advanced Micro Devices Inc., a developer of high performance computing, graphics and visualization technologies, since June 2017, where he is a member of the compensation and leadership resources committee, the innovation and technology committee and the nominating and corporate governance committee; TE Connectivity Ltd, a connectivity and sensor solutions company, since March 2017, where he is a member of the management development and compensation committee and has served as a member of the audit committee; and iRhythm Technologies Inc., digital health care solutions company, since May 2016, where he is the chairman of the board and a member of the compensation committee and nominating and governance committee, and has served as a member of the audit committee. He previously served as a member of the board of directors of LSI from May 2005 to May 2014 and the U.S. Semiconductor Industry Association from May 2005 to May 2014. He was additionally a member of the U.S. delegation for World Semiconductor Council proceedings. Mr. Talwalkar earned a B.S. degree in electrical engineering from Oregon State University. The Board has concluded that Mr. Talwalkar should serve as a director of the Company because of his experience in the semiconductor industry, including as the former chief executive officer of a semiconductor company and his previous role in the semiconductor industry’s trade association; his technology experience; his business and operations leadership roles at other semiconductor companies that include a customer of the Company; his finance experience; his global business experience; his mergers and acquisitions experience; his board governance experience from service on other public company boards, including as chairman of another board; and his cybersecurity expertise. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 61 Rick L. Tsai has served as the CEO of MediaTek Inc., a Taiwanese-listed global fabless semiconductor company, since February 2018. He was Co-CEO of MediaTek from June 2017 to February 2018. He is the former Chief Executive Officer of Chunghwa Telecom Co., Ltd., a Taiwanese integrated telecom service provider, a position he held from January 2014 until December 2016. From August 2011 to January 2014, Dr. Tsai concurrently served as Chief Executive Officer of TSMC Solar Ltd., a provider of high-performance solar modules, and TSMC Solid State Lighting Ltd. (SSL), a company providing lighting solutions that combine its parent’s expertise in semiconductor manufacturing and rigorous quality control with its own integrated capabilities spanning epi-wafers, chips, emitter packaging and extensive value- added modules and light engines, both of which are wholly-owned subsidiaries of Taiwan Semiconductor Manufacturing Company, Limited (TSMC). Prior to these positions, Dr. Tsai was TSMC’s President of New Businesses from June 2009 to July 2011 and President and CEO of TSMC from July 2005 to June 2009. Dr. Tsai held other key executive positions, such as COO, EVP of Worldwide Sales and Marketing, and EVP of Operations, since joining TSMC in 1989. Dr. Tsai served as President of TSMC’s affiliate, Vanguard International Semiconductor, from 1999 to 2000. Prior to joining TSMC, Dr. Tsai held various technical positions at Hewlett Packard, an international information technology company, from 1981 to 1989. Dr. Tsai has served as a member of the board of directors of MediaTek Inc. since June 2017. He previously served on the board of directors of: USI Corporation, a Taiwanese-listed polyethylene manufacturer, from June 2014 until March 2019; NXP Semiconductors N.V., from July 2014 until June 2017; Chunghwa Telecom from January 2014 until December 2016, where he served as chairman; TSMC from 2003 to 2013; TSMC Solar and TSMC SSL from August 2011 to January 2014, where he served as their chairman; and Taiwan Semiconductor Industry Association (TSIA) from June 2009 to March 2013, where he served as chairman. Dr. Tsai earned a Ph.D. degree in material science and engineering from Cornell University and a B.S. degree in physics from the National Taiwan University in Taipei, Taiwan. The Board has concluded that Dr. Tsai should serve as a director of the Company because of his substantial operational and leadership experience in global businesses, particularly through his service as President, CEO and director of TSMC, a major customer of the Company; his knowledge of the semiconductor and semiconductor equipment industry; his extensive executive and board experience for global technology companies, including NXP Semiconductor, Chunghwa Telecom and MediaTek; and his mergers and acquisitions experience. Leslie F. Varon is the former Chief Financial Officer of Xerox Corporation, a document solutions company, a position she held from November 2015 until December 2016. From January 2017 until March 2017, when she retired from the company, she was a Special Advisor to the then new Xerox Chief Executive Officer. Her previous leadership roles during her tenure at Xerox include: Vice President, Investor Relations from March 2015 until October 2015; Vice President, Finance and Corporate Controller from July 2006 until February 2015, where she oversaw global financial operating executives and had responsibility for corporate financial planning and analysis, accounting, internal audit, risk management, global real estate and worldwide shared services centers; Vice President, North America Finance and Operational Support from October 2004 until June 2006; Vice President, Investor Relations and Corporate Secretary from 1997 until September 2004; and Director of Corporate Audit from 1993 until 1997. Ms. Varon has served as a member of the boards of directors of: Dentsply Sirona, Inc., a manufacturer and distributor of dental product solutions, since January 2018, where she chairs the audit and finance committee; and Hamilton Lane, a private markets investment company, since May 2017, where she is the chair of the audit committee. She previously served on the board of directors of Xerox International Partners, a joint venture of Xerox and Fuji Xerox, from July 2006 until March 2017. Ms. Varon earned an M.B.A. degree from Virginia Tech, and a B.S. degree in Psychology from Binghamton University. The Board has concluded that Ms. Varon should serve as a director of the Company because of her substantial finance experience; her qualifications as an audit committee financial expert; her leadership experience as a former chief financial officer; her board governance experience on other public company boards, including her service as a current chair of two other public company audit committees; and her mergers and acquisitions experience. Lih Shyng (Rick L.) Tsai Director since 2016 Age 69 Board Committees: • Compensation and Human Resources ° Member since 2019 Public company director- ships in last five years: • MediaTek Inc. • Chunghwa Telecom Co, Ltd. (former) • NXP Semiconductors N.V. (former) • USI Corporation (former) Leslie F. Varon Director since 2019 Age 63 Board Committees: • Audit ° Member since 2019 Public company director- ships in last five years: • Dentsply Sirona Inc. • Hamilton Lane 62 Proposal No. 2: Advisory Vote to Approve Our Named Executive Officer Compensation, or “Say on Pay” The Dodd-Frank Wall Street Reform and Consumer Protection Act of 2010 and section 14A of the Exchange Act enable the Company’s stockholders to vote to approve, on an advisory or non-binding basis, our named executive officer compensation, as disclosed in this proxy statement in accordance with SEC rules. Although the vote is advisory and is not binding on us or on our Board, our compensation and human resources committee and, as appropriate, our Board, will take into account the outcome of the vote when considering future executive compensation decisions and will evaluate whether any actions are necessary to address stockholder concerns. We believe that our compensation philosophy has allowed us to attract, retain, and motivate qualified executive officers who have contributed to our success. For more information regarding the compensation of our named executive officers, our compensation philosophy, our 2019 Say on Pay results and our response, we encourage you to read the section of this proxy statement entitled “Compensation Matters - Executive Compensation and Other Information - Compensation Discussion and Analysis,” the compensation tables, and the narrative following the compensation tables for a more detailed discussion of our compensation policies and practices. We are asking for stockholder approval, on an advisory or non-binding basis, of the following resolution: ‘RESOLVED, that the stockholders of Lam Research Corporation (the Company) hereby approve, on an advisory basis, the compensation of the Company’s named executive officers, as disclosed pursuant to Item 402 of SEC Regulation S-K, including the “Compensation Discussion and Analysis,” the compensation tables and any related narrative disclosure included in the proxy statement.’ Each proxy received by the Proxy Holders will be voted “FOR” the advisory vote to approve the compensation of our named executive officers, unless the stockholder provides other instructions. This vote is not intended to address any specific item of compensation, but rather the overall compensation of our named executive officers and the policies and practices described in this proxy statement. We provide for annual advisory votes to approve the compensation of our named executive officers. Unless modified, the next advisory vote to approve our named executive officer compensation will be at the 2021 annual meeting. Stockholder approval of Proposal No. 2 requires the affirmative vote of the holders of a majority of the outstanding shares of common stock having voting power present, in person or by proxy, at the annual meeting. THE BOARD OF DIRECTORS RECOMMENDS A VOTE “FOR” THE APPROVAL, ON AN ADVISORY OR NON-BINDING BASIS, OF OUR NAMED EXECUTIVE OFFICER COMPENSATION. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 63 Proposal No. 3: Ratification of the Appointment of Ernst & Young LLP as our Independent Registered Public Accounting Firm for Fiscal Year 2021 Stockholders are being asked to ratify the appointment of EY as the Company’s independent registered public accounting firm for fiscal year 2021. Although the audit committee has the sole authority to appoint the Company’s independent registered public accounting firm, as a matter of good corporate governance, the Board submits its selection to our stockholders for ratification. If the stockholders do not ratify the appointment of EY, the audit committee will contemplate whether to reconsider the appointment. EY has been the Company’s independent registered public accounting firm (independent auditor) since fiscal year 1981. Each proxy received by the Proxy Holders will be voted “FOR” the ratification of the appointment of EY, unless the stockholder provides other instructions. Our audit committee meets periodically with EY to review both audit and non-audit services performed by EY, as well as the fees charged for those services. Among other things, the committee examines the effect that the performance of non-audit services, if any, may have upon the independence of the independent registered public accounting firm. All professional services provided by EY, including non-audit services, if any, are subject to approval by the audit committee in accordance with applicable securities laws, rules, and regulations. For more information, see “Audit Matters - Audit Committee Report” and “Audit Matters - Relationship with Independent Registered Public Accounting Firm” above. A representative of EY is expected to be present at the annual meeting and will have an opportunity to make a statement if he or she so desires. The representative will also be available to respond to appropriate questions from the stockholders. Stockholder approval of Proposal No. 3 requires the affirmative vote of the holders of a majority of the outstanding shares of common stock having voting power present, in person or by proxy, at the annual meeting. THE BOARD OF DIRECTORS RECOMMENDS A VOTE “FOR” THE RATIFICATION OF THE APPOINTMENT OF ERNST & YOUNG LLP AS OUR INDEPENDENT REGISTERED PUBLIC ACCOUNTING FIRM FOR FISCAL YEAR 2021. Other Voting Matters We are not aware of any other matters to be submitted at the annual meeting. If any other matters properly come before the annual meeting, the Proxy Holders intend to vote the shares they represent as the Board may recommend or, if the Board does not make a recommendation, as the Proxy Holders decide in their reasonable judgment. It is important that your stock holdings be represented at the meeting, regardless of the number of shares you hold. We urge you to complete and return the accompanying proxy card in the enclosed envelope, or vote your shares by telephone or internet, as described in the materials accompanying this proxy statement. 64 Voting and Meeting Information Information Concerning Solicitation and Voting Our Board solicits your proxy for the 2020 Annual Meeting of Stockholders and any adjournment or postponement of the meeting, for the purposes described in the “Notice of 2020 Annual Meeting of Stockholders.” The sections below show important details about the annual meeting and voting. Record Date Only stockholders of record at the close of business on September 4, 2020, the “Record Date,” are entitled to receive notice of and to vote at the annual meeting. Shares Outstanding As of the Record Date, 145,087,944 shares of common stock were outstanding. Quorum Stockholders who hold shares representing a majority of our shares of common stock outstanding and entitled to vote on the Record Date must be present in person or represented by proxy to constitute a quorum. A quorum is required to transact business at the annual meeting. Virtual attendance at the annual meeting constitutes presence in person for purposes of a quorum at the annual meeting. Inspector of Elections The Company will appoint an inspector of elections to determine whether a quorum is present. The inspector will also tabulate the votes cast at the annual meeting, whether cast in person or by proxy. Effect of Abstentions and Broker Non-Votes Shares voted “abstain” and broker non-votes (shares held by brokers that do not receive voting instructions from the beneficial owner of the shares, and do not have discretionary authority to vote on a matter) will be counted as present for purposes of determining whether we have a quorum. For purposes of voting results, abstentions will not be counted with respect to the election of directors but will have the effect of “no” votes with respect to other proposals, and broker non-votes will not be counted with respect to any proposal. Voting by Proxy Stockholders may direct the Proxy Holders on how to cast votes on their behalf by internet, telephone, or mail, per the instructions on the accompanying proxy card. Voting at the Meeting This year’s annual meeting will be a virtual meeting. Stockholders of record may vote electronically during the meeting by visiting the meeting website at www.virtualshareholdermeeting.com/LRCX2020. To vote during the meeting, a stockholder will need the 16-digit control number included on their Notice of Internet Access or proxy card. A beneficial owner of shares (i.e. an owner who is not the record holder of their shares) should refer to the voting instructions provided by the beneficial owner’s brokerage firm, bank, or other stockholder of record holding such shares for the beneficial owner. Voting electronically during the meeting by a stockholder as described here will replace any previous votes of that stockholder submitted by proxy. Changing Your Vote Stockholders of record may change their votes by revoking their proxies at any time before the polls close by (1) submitting a later- dated proxy by the internet, telephone or mail, or (2) submitting a vote electronically during the annual meeting. Before the annual meeting, stockholders of record may also deliver voting instructions to: Lam Research Corporation, Attention: Secretary, 4650 Cushing Parkway, Fremont, California 94538. If a beneficial owner holds shares through a bank or brokerage firm, or another stockholder of record, the beneficial owner must contact the stockholder of record in order to revoke any prior voting instructions. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 65 Voting Instructions If a stockholder completes and submits proxy voting instructions, the Proxy Holders will follow the stockholder’s instructions. If a stockholder submits proxy voting instructions but does not include voting instructions for each item, the Proxy Holders will vote as the Board recommends on each item for which the stockholder did not include an instruction. The Proxy Holders will vote on any other matters properly presented at the annual meeting in accordance with their best judgment. Voting Results We will announce preliminary results at the annual meeting. We will report final voting results at https://investor.lamresearch.com and in a Form 8-K to be filed shortly after the annual meeting. Availability of Proxy Materials Beginning on September 23, 2020, this proxy statement and the accompanying proxy card and 2020 Annual Report on Form 10-K to Stockholders will be mailed to stockholders entitled to vote at the annual meeting who have designated a preference for a printed copy. Stockholders who previously chose to receive proxy materials electronically were sent an email with instructions on how to access this year’s proxy materials and the proxy voting site. We have also provided our stockholders access to our proxy materials over the internet in accordance with rules and regulations adopted by the SEC. These materials are available on our website at https://investor.lamresearch.com. We will furnish, without charge, a printed copy of these materials and our 2020 Annual Report (including exhibits) on request by telephone (510-572-1615), by mail (to Investor Relations, Lam Research Corporation, 4650 Cushing Parkway, Fremont, California 94538), or by email (to investor.relations@lamresearch.com). A Notice of Internet Availability of Proxy Materials will be mailed beginning on September 23, 2020 to all stockholders entitled to vote at the meeting. The notice will have instructions for stockholders on how to access our proxy materials through the internet and how to request that a printed copy of the proxy materials be mailed to them. The notice will also have instructions on how to elect to receive all future proxy materials electronically or in printed form. If you choose to receive future proxy materials electronically, you will receive an email each year with instructions on how to access the proxy materials and proxy voting site. Proxy Solicitation Costs The Company will bear the cost of all proxy solicitation activities. Our directors, officers and other employees may solicit proxies personally or by telephone, email or other communication means, without any cost to Lam Research. In addition, we have retained D.F. King & Co., Inc. to assist in obtaining proxies by mail, facsimile or email from brokers, bank nominees and other institutions for the annual meeting. The estimated cost of such services is $14,000 plus out-of-pocket expenses. D.F. King & Co, Inc. may be contacted at 48 Wall Street, New York, New York 10005. We are required to request that brokers and nominees who hold stock in their names furnish our proxy materials to the beneficial owners of the stock, and we must reimburse these brokers and nominees for the expenses of doing so in accordance with statutory fee schedules. Other Meeting Information Annual Meeting Admission All stockholders entitled to vote as of the Record Date are entitled to attend the annual meeting virtually. Stockholders of record may attend the meeting by visiting the meeting website at www.virtualshareholdermeeting.com/LRCX2020. To attend, a stockholder will need the 16-digit control number included on their Notice of Internet Access or proxy card. A beneficial owner of shares (i.e. an owner who is not the record holder of their shares) who wishes to attend the meeting should refer to the instructions provided by the beneficial owner’s brokerage firm, bank, or other stockholder of record holding such shares for the beneficial owner. Voting on Proposals Pursuant to Proposal No. 1, Board members will be elected at the annual meeting to fill nine seats on the Board to serve until the next annual meeting of stockholders, and until their respective successors are elected and qualified, under a “majority vote” standard. The majority voting standard means that, even though there are nine nominees in total for the nine Board seats, a nominee will be elected only if he or she receives an affirmative “for” vote from stockholders owning, as of the Record Date, at least a majority of the shares present and voted at the meeting in such nominee’s election by proxy or in person. If an incumbent fails to receive the required majority, his or her previously submitted resignation will be promptly considered by the Board. Each stockholder may cast one vote (“for” or “withhold”), per share held, for each of the nine nominees. Stockholders may not cumulate votes in the election of directors. 66 Each share is entitled to one vote on Proposals No. 2 and 3. Votes may be cast “for,” “against” or “abstain” on Proposals No. 2 and 3. Approval of Proposals No. 2 and 3 requires the affirmative vote of a majority of the shares of common stock present or represented by proxy and cast at the meeting. If a stockholder votes by means of the proxy solicited by this proxy statement and does not instruct the Proxy Holders how to vote, the Proxy Holders will vote: “FOR” all individuals nominated by the Board; “FOR” approval, on an advisory basis, of our named executive officer compensation; and “FOR” the ratification of EY as the Company’s independent registered public accounting firm for fiscal year 2021. If you choose to vote in person, you will have an opportunity to do so at the annual meeting. You may either bring your proxy card to the annual meeting, or if you do not bring your proxy card, the Company will pass out written ballots to anyone who was a stockholder as of the Record Date. As noted above, if you are a beneficial owner (an owner who is not the record holder of their shares), you will need to obtain a proxy from your brokerage firm, bank, or the stockholder of record holding shares on your behalf. Voting by 401(k) Plan Participants Participants in Lam’s Savings Plus Plan, Lam Research 401(k), or the “401(k) Plan,” who held Lam common stock in their personal 401(k) Plan accounts as of the Record Date, will receive this proxy statement, so that each participant may vote, by proxy, his or her interest in Lam’s common stock as held by the 401(k) Plan. The 401(k) Plan trustee will aggregate and vote proxies in accordance with the instructions in the proxies of employee participants that it receives. Stockholder Accounts Sharing the Same Last Name and Address; Stockholders Holding Multiple Accounts To reduce the expense of delivering duplicate proxy materials to stockholders who may have more than one account holding Lam Research stock but who share the same address, we have adopted a procedure approved by the SEC called “householding.” Under this procedure, stockholders of record who have the same address and last name will receive only one copy of our proxy statement and annual report unless one of the stockholders notifies our investor relations department that one or more of them want to receive separate copies. This procedure reduces duplicate mailings and therefore saves printing and mailing costs, as well as natural resources. Stockholders who participate in householding will continue to have access to all proxy materials at https://investor.lamresearch.com, as well as the ability to submit separate proxy voting instructions for each account through the internet or by telephone. Stockholders holding multiple accounts of Lam common stock may request separate copies of the proxy materials by contacting us by telephone (510-572-1615), by mail (to Investor Relations, Lam Research Corporation, 4650 Cushing Parkway, Fremont, California 94538) or by email (to investor.relations@lamresearch.com). Stockholders may also contact us by telephone, mail or email to request consolidation of proxy materials mailed to multiple accounts at the same address. Stockholder-Initiated Proposals and Nominations for 2021 Annual Meeting Proposals submitted under SEC rules for inclusion in the Company’s proxy statement. Stockholder-initiated proposals (other than director nominations) may be eligible for inclusion in our proxy statement for next year’s 2021 annual meeting of stockholders (in accordance with SEC Rule 14a-8) and for consideration at the 2021 annual meeting of stockholders. The Company must receive a stockholder proposal no later than May 26, 2021 for the proposal to be eligible for inclusion. Any stockholder interested in submitting a proposal or nomination is advised to contact legal counsel familiar with the detailed securities law requirements for submitting proposals or nominations for inclusion in a company’s proxy statement. Proposed nominations of directors under Company bylaws for Proxy Access. Our bylaws provide for “Proxy Access.” Pursuant to the Proxy Access provisions of our bylaws, a stockholder, or a group of up to 20 stockholders, owning at least 3% of our outstanding common stock continuously for at least three years can nominate and include in our proxy materials director nominees constituting up to the greater of two individuals or 20% of the Board, provided that the stockholders and the nominees satisfy the requirements specified in our bylaws. If a stockholder or group of stockholders wishes to nominate one or more director candidates to be included in our proxy statement for the 2021 annual meeting of stockholders pursuant to Proxy Access, all of the information required by our bylaws must be received by the Secretary of the Company no earlier than April 26, 2021, and no later than May 26, 2021. Proposals and nominations under Company bylaws for presentation at the annual meeting but for which the proponent does not seek to include materials in our proxy statement. Stockholders may also submit proposals for consideration and nominations of director candidates for election at the annual meeting by following certain requirements set forth in our bylaws. These proposals will not be eligible for inclusion in the Company’s proxy statement for the 2021 annual meeting of stockholders unless they are submitted in compliance with then applicable SEC rules or pursuant to the Proxy Access described above; however, they will be presented for consideration at the 2021 annual meeting of stockholders if the requirements established by our bylaws for stockholder proposals and nominations have been satisfied. Continues on next page (cid:2) Lam Research Corporation 2020 Proxy Statement 67 Our bylaws establish requirements for stockholder proposals and nominations not included in our proxy statement to be considered at the annual meeting. Assuming that the 2021 annual meeting of stockholders takes place at roughly the same date next year as the 2020 annual meeting (and subject to any change in our bylaws—which would be publicly disclosed by the Company—and to any provisions of then-applicable SEC rules), a stockholder of record must submit the proposal or nomination in writing and it must be received by the Secretary of the Company no earlier than July 10, 2021, and no later than August 9, 2021. For a full description of the requirements for submitting a proposal or nomination, see the Company’s bylaws. Submissions or questions should be sent to: Secretary, Lam Research Corporation, 4650 Cushing Parkway, Fremont, California 94538. By Order of the Board of Directors, Ana M. Hahn Secretary Fremont, California Dated: September 23, 2020 68 UNITED STATES SECURITIES AND EXCHANGE COMMISSION Washington, D.C. 20549 FORM 10-K (Mark One) È ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 For the fiscal year ended June 28, 2020 OR ‘ TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 For the transition period from to Commission file number: 0-12933 . LAM RESEARCH CORPORATION (Exact name of registrant as specified in its charter) Delaware (State or other jurisdiction of incorporation or organization) 4650 Cushing Parkway, Fremont, California (Address of principal executive offices) 94-2634797 (I.R.S. Employer Identification No.) 94538 (Zip Code) Title of each class Common Stock, Par Value $0.001 Per Share Registrant’s telephone number, including area code: (510) 572-0200 Securities registered pursuant to Section 12(b) of the Act: Trading Symbol(s) LRCX Name of each exchange on which registered The Nasdaq Stock Market (Nasdaq Global Select Market) Securities registered pursuant to Section 12(g) of the Act: None (Title of class) Indicate by check mark if the registrant is a well-known seasoned issuer, as defined in Rule 405 of the Securities Act. Yes È No ‘ Indicate by check mark if the registrant is not required to file reports pursuant to Section 13 or Section 15(d) of the Act. Yes ‘ No È Indicate by check mark whether the registrant (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to such filing requirements for the past 90 days. Yes È No ‘ Indicate by check mark whether the registrant has submitted electronically every Interactive Data File required to be submitted pursuant to Rule 405 of Regulation S-T (§ 232.405 of this chapter) during the preceding 12 months (or for such shorter period that the registrant was required to submit such files). Yes È No ‘ Indicate by check mark whether the registrant is a large accelerated filer, an accelerated filer, a non-accelerated filer, a smaller reporting company, or an emerging growth company. See the definitions of “large accelerated filer,” “accelerated filer”, “smaller reporting company”, and “emerging growth company” in Rule 12b-2 of the Exchange Act. Large accelerated filer Non-accelerated filer È ‘ Accelerated filer Smaller reporting company Emerging growth company ‘ ‘ ‘ If an emerging growth company, indicate by check mark if the registrant has elected not to use the extended transition period for complying with any new or revised financial accounting standards provided pursuant to Section 13(a) of the Exchange Act. ‘ Indicate by check mark whether the registrant has filed a report on and attestation to its management’s assessment of the effectiveness of its internal control over financial reporting under Section 404(b) of the Sarbanes-Oxley Act (15 U.S.C. 7262(b)) by the registered public accounting firm that prepared or issued its audit report. È Indicate by check mark whether the registrant is a shell company (as defined in Rule 12b-2 of the Act). Yes ‘ No È The aggregate market value of the Registrant’s Common Stock, $0.001 par value, held by non-affiliates of the Registrant, as of December 29, 2019, the last business day of the most recently completed second fiscal quarter, was $29,587,424,632. Common Stock held by each officer and director and by each person who owns 5% or more of the outstanding Common Stock has been excluded from this computation based on the assumption that such persons may be deemed to be affiliates. This determination of affiliate status is not necessarily a conclusive determination of such status for other purposes. As of August 13, 2020, the Registrant had 145,625,225 outstanding shares of Common Stock. Parts of the Registrant’s Proxy Statement for the Annual Meeting of Stockholders expected to be held on or about November 3, 2020, are incorporated by reference into Part III of this Form 10-K. Except as expressly incorporated by reference herein, the Registrant’s proxy statement shall not be deemed to be part of this report. Documents Incorporated by Reference LAM RESEARCH CORPORATION 2020 ANNUAL REPORT ON FORM 10-K TABLE OF CONTENTS Part I. Item 1. Business . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Item 1A. Risk Factors . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Item 1B. Unresolved Staff Comments . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Page Item 2. Item 3. Item 4. Part II. Item 5. Item 6. Item 7. Properties . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Legal Proceedings . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Mine Safety Disclosures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Market for the Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Selected Financial Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Management’s Discussion and Analysis of Financial Condition and Results of Operations . . . . . . . . . . Item 7A. Quantitative and Qualitative Disclosures About Market Risk . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Item 8. Item 9. Financial Statements and Supplementary Data . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Changes in and Disagreements with Accountants on Accounting and Financial Disclosure . . . . . . . . . Item 9A. Controls and Procedures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Item 9B. Other Information . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Part III. Item 10. Directors, Executive Officers and Corporate Governance . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Item 11. Executive Compensation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Item 12. Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Item 13. Certain Relationships and Related Transactions, and Director Independence . . . . . . . . . . . . . . . . . . . . . Item 14. Principal Accounting Fees and Services . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Part IV. Item 15. Exhibits, Financial Statement Schedules . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Exhibit Index . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . Signatures . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3 13 24 24 24 24 25 28 29 41 44 88 88 88 89 89 89 89 89 90 91 95 2 PART I CAUTIONARY STATEMENT REGARDING FORWARD-LOOKING STATEMENTS With the exception of historical facts, the statements contained in this discussion are forward-looking statements, which are subject to the safe harbor provisions created by the Private Securities Litigation Reform Act of 1995. Certain, but not all, of the forward-looking statements in this report are specifically identified as forward-looking, by use of phrases and words such as “believe,” “estimated,” “anticipate,” “expect,” “probable,” “intend,” “plan,” “aim,” “may,” “should,” “could,” “would,” “will,” “continue,” and other future-oriented terms. The identification of certain statements as “forward- looking” does not mean that other statements not specifically identified are not forward-looking. Forward-looking statements include but are not limited to statements that relate to: trends and opportunities in the global economic environment and the semiconductor industry; the anticipated levels of, and rates of change in, margins, market share, served addressable market, capital expenditures, research and development expenditures, international sales, revenue (actual and/or deferred), operating expenses and earnings generally; management’s plans and objectives for our current and future operations and business focus; volatility in our quarterly results; customer and end user requirements and our ability to satisfy those requirements; customer capital spending and their demand for our products and services, and the reliability of indicators of change in customer spending and demand; the effect of variability in our customers’ business plans or demand for our equipment and services; changes in demand for our products and in our market share resulting from, among other things, any changes in our customers’ proportion of capital expenditure (with respect to certain technology inflections); hedging transactions; debt or financing arrangements; our competition, and our ability to defend our market share and to gain new market share; our ability to obtain and qualify alternative sources of supply; changes in state, federal and international tax laws, our estimated annual tax rate and the factors that affect our tax rates; anticipated growth or decline in the industry and the total market for wafer fabrication equipment, our growth relative thereto and the resulting impact on us from such growth or decline; the success of joint development and collaboration relationships with customers, suppliers, or others; outsourced activities; the role of component suppliers in our business; our leadership and competency, and our ability to facilitate innovation; our ability to continue to, including the underlying factors that, create sustainable differentiation; the resources invested to comply with evolving standards and the impact of such efforts; legal and regulatory compliance; the estimates we make, and the accruals we record, in order to implement our critical accounting policies (including but not limited to the adequacy of prior tax payments, future tax benefits or liabilities, and the adequacy of our accruals relating to them); our investment portfolio; our access to capital markets; uses of, payments of, and impact of interest rate fluctuations on, our debt; our intention to pay quarterly dividends and the amounts thereof, if any; our ability and intention to repurchase our shares; credit risks; controls and procedures; recognition or amortization of expenses; our ability to manage and grow our cash position; our strategic relevance with our customers; our ability to scale our operations to respond to changes in our business; the value of our patents; the materiality of potential losses arising from legal proceedings; the probability of making payments under our guarantees; and the sufficiency of our financial resources or liquidity to support future business activities (including but not limited to operations, investments, debt service requirements, dividends, and capital expenditures). Such statements are based on current expectations and are subject to risks, uncertainties, and changes in condition, significance, value, and effect, including without limitation those discussed below under the heading “Risk Factors” within Item 1A and elsewhere in this report and other documents we file from time to time with the Securities and Exchange Commission (“SEC”), such as our quarterly reports on Form 10-Q and our current reports on Form 8-K. Such risks, uncertainties, and changes in condition, significance, value, and effect could cause our actual results to differ materially from those expressed in this report and in ways not readily foreseeable. Readers are cautioned not to place undue reliance on these forward-looking statements, which speak only as of the date hereof and are based on information currently and reasonably known to us. We do not undertake any obligation to release the results of any revisions to these forward- looking statements, which may be made to reflect events or circumstances that occur after the date of this report or to reflect the occurrence or effect of anticipated or unanticipated events. Item 1. Business Incorporated in 1980, Lam Research Corporation (“Lam Research,” “Lam,” “we,” “our,” “us,” or the “Company”) is a Delaware corporation, headquartered in Fremont, California. We maintain a network of facilities throughout Asia, Europe, and the United States in order to meet the needs of our dynamic customer base. Additional information about Lam Research is available on our website at www.lamresearch.com. The content on any website referred to in this Form 10-K is not a part of or incorporated by reference in this Form 10-K unless expressly noted. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 3 Our Annual Report on Form 10-K, Quarterly Reports on Forms 10-Q, Current Reports on Forms 8-K, Proxy Statements and all other filings we make with the SEC are available on our website, free of charge, as soon as reasonably practical after we file them with or furnish them to the SEC and are also available online at the SEC’s website at www.sec.gov. The Lam Research logo, Lam Research, and all product and service names used in this report are either registered trademarks or trademarks of Lam Research Corporation or its subsidiaries in the United States and/or other countries. All other marks mentioned herein are the property of their respective holders. We are a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. We have built a strong global presence with core competencies in areas like nanoscale applications enablement, chemistry, plasma and fluidics, advanced systems engineering and a broad range of operational disciplines. Our products and services are designed to help our customers build smaller, faster, and better performing devices that are used in a variety of electronic products, including mobile phones, personal computers, servers, wearables, automotive vehicles, and data storage devices. Our customer base includes leading semiconductor memory, foundry, and integrated device manufacturers (“IDMs”) that make products such as non-volatile memory (“NVM”), dynamic random-access memory (“DRAM”), and logic devices. We aim to increase our strategic relevance with our customers by contributing more to their continued success. Our core technical competency is integrating hardware, process, materials, software, and process control enabling results on the wafer. Semiconductor manufacturing, our customers’ business, involves the complete fabrication of multiple dies or integrated circuits (“ICs”) on a wafer. This involves the repetition of a set of core processes and can require hundreds of individual steps. Fabricating these devices requires highly sophisticated process technologies to integrate an increasing array of new materials with precise control at the atomic scale. Along with meeting technical requirements, wafer processing equipment must deliver high productivity and be cost-effective. Demand from cloud computing (the “Cloud”), the Internet of Things (“IoT”), and other markets is driving the need for increasingly powerful and cost-efficient semiconductors. At the same time, there are growing technical challenges with traditional two-dimensional scaling. These trends are driving significant inflections in semiconductor manufacturing, such as the increasing importance of vertical scaling strategies like three-dimensional (“3D”) architectures as well as multiple patterning to enable shrinks. We believe we are in a strong position with our leadership and competency in deposition, etch, and clean to facilitate some of the most significant innovations in semiconductor device manufacturing. Several factors create opportunity for sustainable differentiation for us: (i) our focus on research and development, with several on-going programs relating to sustaining engineering, product and process development, and concept and feasibility; (ii) our ability to effectively leverage cycles of learning from our broad installed base; (iii) our collaborative focus with semi-ecosystem partners; (iv) our ability to identify and invest in the breadth of our product portfolio to meet technology inflections; and (v) our focus on delivering our multi-product solutions with a goal to enhance the value of Lam’s solutions to our customers. We also address processes for back-end wafer-level packaging (“WLP”), which is an alternative to traditional wire bonding and can offer a smaller form factor, increased interconnect speed and bandwidth, and lower power consumption, among other benefits. In addition, our products are well-suited for related markets that rely on semiconductor processes and require production-proven manufacturing capability, such as complementary metal-oxide-semiconductor image sensors (“CIS”) and micro-electromechanical systems (“MEMS”). Our Customer Support Business Group (“CSBG”) provides products and services to maximize installed equipment performance, predictability, and operational efficiency. We offer a broad range of services to deliver value throughout the lifecycle of our equipment, including customer service, spares, upgrades, and new and refurbished non-leading edge products in our deposition, etch, and clean markets. Many of the technical advances that we introduce in our newest products are also available as upgrades, which provide customers with a cost-effective strategy for extending the performance and capabilities of their existing wafer fabrication lines. Service offerings include addressing productivity needs for our customers including, but not limited to, system uptime or availability optimization, throughput improvements, and defect reduction. Additionally, within CSBG, our Reliant product line offers new and refurbished non-leading-edge products in deposition, etch and clean markets for those applications that do not require the most advanced wafer processing capability. 4 Products Market Process/Application Technology Products Deposition Metal Films Electrochemical Deposition (“ECD”) (Copper & Other) Chemical Vapor Deposition (“CVD”) Atomic Layer Deposition (“ALD”) (Tungsten) SABRE® family ALTUS® family Dielectric Films Plasma-enhanced CVD (“PECVD”) VECTOR® family Film Treatment ALD Gapfill High-Density Plasma CVD (“HDP-CVD”) Ultraviolet Thermal Processing (“ULTP”) Striker® family SPEED® family SOLA® family Etch Conductor Etch Reactive Ion Etch Dielectric Etch Reactive Ion Etch Through-silicon Via (“TSV”) Etch Deep Reactive Ion Etch Clean Wafer Cleaning Wet Clean Bevel Cleaning Dry Plasma Clean Kiyo® family, Versys® Metal family Flex® family Syndion® family EOS®, DV-Prime®, Da Vinci®, SP Series Coronus® family Mass Metrology Deposition, Etch, Clean Sub-milligram Mass Measurement Metryx® Family Deposition Processes and Product Families Deposition processes create layers of dielectric (insulating) and metal (conducting) materials used to build a semiconductor device. Depending on the type of material and structure being made, different techniques are employed. Electrochemical deposition creates the copper wiring (interconnect) that links devices in an integrated circuit (“IC” or “chip”). Plating of copper and other metals is also used for TSV and WLP applications. Tiny tungsten connectors and thin barriers are made with the precision of chemical vapor deposition and atomic layer deposition, which adds only a few layers of atoms at a time. Plasma-enhanced CVD, high- density plasma CVD, and ALD are used to form the critical insulating layers that isolate and protect all of these electrical structures. Lastly, post-deposition treatments such as ultraviolet thermal processing are used to improve dielectric film properties. ALTUS® Product Family Tungsten deposition is used to form conductive features such as contacts, vias, and wordlines on a chip. These features are small, often narrow, and use only a small amount of metal, so minimizing resistance and achieving complete fill can be difficult. At these nanoscale dimensions, even slight imperfections can impact device performance or cause a chip to fail. Our ALTUS® systems combine CVD and ALD technologies to deposit the highly conformal films needed for advanced tungsten metallization applications. The Multi-Station Sequential Deposition architecture enables nucleation layer formation and bulk CVD fill to be performed in the same chamber (“in situ”). Our ALD technologies are used in the deposition of barrier films to achieve high step coverage with reduced thickness at lower temperatures relative to a conventional process. SABRE® Product Family Copper deposition lays down the electrical wiring for most semiconductor devices. Even the smallest defect—say, a microscopic pinhole or dust particle—in these conductive structures can impact device performance, from loss of speed to complete failure. The SABRE® ECD product family, which helped pioneer the copper interconnect transition, offers the precision needed for copper damascene manufacturing in logic and memory. System capabilities include cobalt deposition for logic applications and copper deposition directly on various liner materials, which is important for next-generation metallization schemes. For advanced WLP applications, such as forming conductive bumps and redistribution layers, and for filling TSVs, the SABRE® 3D family combines Lam’s SABRE Electrofill® technology with additional innovation to deliver the high-quality films needed at high productivity. The modular architecture can be configured with multiple plating and pre/post-treatment cells, providing flexibility to address a variety of packaging applications. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 5 SOLA® Product Family Dielectric materials designed to meet the insulation requirements of logic chips often have attributes that make them unusually difficult to use. These films are easily damaged and vulnerable to losing some of their insulating capability, which can lead to poor device performance. To enable these applications, some films can be stabilized—and others enhanced to improve device performance—using specialized post-deposition film treatments available with Lam’s SOLA® UVTP product family. SOLA® products offer process flexibility through independent control of temperature, wavelength, and intensity at each station of the wafer path, enabled by Multi-Station Sequential Processing architecture. SPEED® Product Family Dielectric gapfill processes deposit critical insulation layers between conductive and/or active areas by filling openings of various aspect ratios between conducting lines and between devices. With advanced devices, the structures being filled can be very tall and narrow. As a result, high-quality dielectric films are especially important due to the ever-increasing possibility of cross-talk and device failure. Our SPEED® HDP-CVD products provide a multiple dielectric film solution for high-quality gapfill with industry- leading throughput and reliability. SPEED® products have excellent particle performance, and their design allows large batch sizes between cleans and faster cleans. Striker® Product Family The latest memory, logic, and imaging devices require extremely thin, highly conformal dielectric films for continued device performance improvement and scaling. For example, ALD films are critical for spacer-based multiple patterning schemes where the spacers help define critical dimensions, as well as for insulating liners and gapfill in high aspect ratio features, which have little tolerance for voids and even the smallest defect. The Striker® single-wafer ALD products provide dielectric film solutions for these challenging requirements through application-specific material, process and hardware options that deliver film technology and defect performance. VECTOR® Product Family Dielectric film deposition processes are used to form some of the most difficult-to-produce insulating layers in a semiconductor device, including those used in the latest transistors and 3D structures. In some applications, these films require dielectric films to be exceptionally smooth and defect free since slight imperfections are multiplied greatly in subsequent layers. Our VECTOR® PECVD products are designed to provide the performance and flexibility needed to create these enabling structures within a wide range of challenging device applications. As a result of its design, VECTOR® produces superior thin film quality, along with exceptional within-wafer and wafer-to-wafer uniformity. Etch Processes and Product Families Etch processes help create chip features by selectively removing both dielectric (insulating) and metal (conducting) materials that have been added during deposition. These processes involve fabricating increasingly small, complex, and narrow features using many types of materials. The primary technology, reactive ion etch, bombards the wafer surface with ions (charged particles) to remove material. For the smallest features, atomic-layer etching (“ALE”) removes a few atomic layers of material at a time. While conductor etch processes precisely shape critical electrical components like transistors, dielectric etch forms the insulating structures that protect conducting parts. Flex® Product Family Dielectric etch carves patterns in insulating materials to create barriers between the electrically conductive parts of a semiconductor device. For advanced devices, these structures can be extremely tall and thin and involve complex, sensitive materials. Slight deviations from the target feature profile—even at the atomic level—can negatively affect electrical properties of the device. To precisely create these challenging structures, our Flex® product family offers differentiated technologies and application-focused capabilities for critical dielectric etch applications. Uniformity, repeatability, and tunability are enabled by a unique multi-frequency, small-volume, confined plasma design. Flex® offers in situ multi-step etch and continuous plasma capability that delivers high productivity with low defectivity. Kiyo® Product Family Conductor etch helps shape the electrically active materials used in the parts of a semiconductor device. Even a slight variation in these miniature structures can create an electrical defect that impacts device performance. In fact, these structures are so tiny that etch processes are pushing the boundaries of the basic laws of physics and chemistry. Our Kiyo® product family delivers the high- 6 performance capabilities needed to precisely and consistently form these conductive features with high productivity. Proprietary Hydra technology in Kiyo® products improves critical dimension (“CD”) uniformity by correcting for incoming pattern variability, and atomic-scale variability control with production-worthy throughput is achieved with plasma-enhanced ALE capability. Syndion® Product Family Plasma etch processes used to remove single crystal silicon and other materials deep into the wafer are collectively referred to as deep silicon etch. These may be deep trenches for CMOS image sensors, trenches for power and other devices, TSVs, and other high aspect ratio features. These are created by etching through multiple materials sequentially, where each new material involves a change in the etch process. The Syndion® etch product family is optimized for deep silicon etch, providing the fast process switching with depth and cross-wafer uniformity control required to achieve precision etch results. The systems support both conventional single-step etch and rapidly alternating process, which minimizes damage and delivers precise depth uniformity. Versys® Metal Product Family Metal etch processes play a key role in connecting the individual components that form an IC, such as forming wires and electrical connections. These processes can also be used to drill through metal hardmasks that pattern features too small for conventional masks, allowing continued shrinking of feature dimensions. To enable these critical etch steps, the Versys® Metal product family provides high-productivity capability on a flexible platform. Superior CD and profile uniformity are enabled by a symmetrical chamber design with independent process tuning features. Clean Processes and Product Families Clean techniques are used between manufacturing steps to clear away particles, contaminants, residues and other unwanted material that could later lead to defects and to prepare the wafer surface for subsequent processing. Wet processing technologies can be used for wafer cleaning and etch applications. Plasma bevel cleaning is used to enhance die yield by removing unwanted materials from the wafer’s edge that could impact the device area. Coronus® Product Family Bevel cleaning removes unwanted masks, residues, and films from the edge of a wafer between manufacturing steps. If not cleaned, these materials become defect sources. For instance, they can flake off and re-deposit on the device area during subsequent processes. Even a single particle that lands on a critical part of a device can ruin the entire chip. By inserting bevel clean processes at strategic points, these potential defect sources can be eliminated and more functional chips produced. By combining the precise control and flexibility of plasma with technology that protects the active die area, the Coronus® bevel clean family cleans the wafer’s edge to enhance die yield. The systems provide active die area protection by using plasma processing with proprietary confinement technology. Applications include post-etch, pre- and post-deposition, pre-lithography, and metal film removal to prevent arcing during plasma etch or deposition steps. DV-Prime®, Da Vinci®, EOS®, and SP Series Product Families Wafer cleaning is performed repeatedly during semiconductor device manufacturing and is a critical process that affects product yield and reliability. Unwanted microscopic materials—some no bigger than the tiny structures themselves—need to be cleaned effectively. At the same time, these processes must selectively remove residues that are chemically similar to the device films. For advanced WLP, the wet clean steps used between processes that form the package and external wiring have surprisingly complex requirements. These processes are called on to completely remove specific materials and leave other fragile structures undisturbed. In IoT products that include power devices, MEMS and image sensors, there is a unique requirement for wafer backside wet etch to uniformly thin the silicon wafer while protecting the device side of the wafer. Based on our pioneering single-wafer spin technology, the DV-Prime® and Da Vinci® products provide the process flexibility needed with high productivity to address a wide range of wafer cleaning steps throughout the manufacturing process flow. As the latest of Lam’s wet clean products, EOS® delivers exceptionally low on-wafer defectivity and high throughput to address progressively demanding wafer cleaning applications, including emerging 3D structures. With a broad range of process capability, our SP Series products deliver cost-efficient, production-proven wet clean and silicon wet etch solutions for challenging WLP and IoT applications. Mass Metrology Processes and Product Mass metrology measures the change in mass following deposition, etch, and clean processes to enable monitoring and control of these often-repeated core manufacturing steps. For design components like thin film stacks, high aspect-ratio structures, and Continues on next page (cid:2) Lam Research Corporation 2020 10-K 7 complex 3D architectures, optical techniques are limited in their ability to measure accurately the thick, deep, or otherwise visually obscured features. Measuring the change in mass for these applications provides a straightforward high-precision solution for monitoring and control of the critical features in advanced device structures, where there is often little tolerance for variation. Our line of high-precision mass metrology systems provides in-line monitoring and control of deposition, etch, and clean steps in real time—recording minute changes in mass to enable advanced detection of potential process excursions. Metryx® Product Family Metryx® mass metrology systems provide high precision in-line mass measurement for semiconductor wafer manufacturing. Nearly all semiconductor processes (e.g., deposition, etch and clean) either add or remove materials from the wafer. Measuring mass change of a wafer before and after a process therefore is a simple and direct means of monitoring and controlling the process. It is used to identify production wafer trends and excursions as they occur, allowing corrections to be implemented quickly to prevent further yield loss. It has been adopted in the production of 3D devices where traditional metrology and inspection techniques are insufficient for complex high aspect ratio device architectures. Mass metrology is also increasingly used to characterize multi-step processes and integrations for development, technology transfer, and diagnosis. Fiscal Periods Presented All references to fiscal years apply to our fiscal years, which ended June 28, 2020, June 30, 2019, and June 24, 2018. Research and Development The market for semiconductor capital equipment is characterized by rapid technological change and product innovation. Our ability to achieve and maintain our competitive advantage depends in part on our continued and timely development of new products and enhancements to existing products. Accordingly, we devote a significant portion of our personnel and financial resources to research and development (“R&D”) programs and seek to maintain close and responsive relationships with our customers and suppliers. We believe current challenges for customers at various points in the semiconductor manufacturing process present opportunities for us. We expect to continue to make substantial investments in R&D to meet our customers’ product needs, support our growth strategy and enhance our competitive position. Marketing, Sales, and Service Our marketing, sales, and service efforts are focused on building long-term relationships with our customers and targeting product and service solutions designed to meet their needs. These efforts are supported by a team of product marketing and sales professionals as well as equipment and process engineers who work closely with individual customers to develop solutions for their wafer processing needs. We maintain ongoing service relationships with our customers and have an extensive network of service engineers in place throughout the United States, China, Europe, India, Japan, Korea, Southeast Asia, and Taiwan. We believe that comprehensive support programs and close working relationships with customers are essential to maintaining high customer satisfaction and our competitiveness in the marketplace. We provide standard warranties for our systems. The warranty provides that systems will be free from defects in material and workmanship and will conform to agreed-upon specifications. The warranty is limited to repair of the defect or replacement with new or like-new equivalent goods and is valid when the buyer provides prompt notification within the warranty period of the claimed defect or non-conformity and also makes the items available for inspection and repair. We also offer extended warranty packages to our customers to purchase as desired. International Sales A significant portion of our sales and operations occur outside the United States (“U.S.”) and, therefore, may be subject to certain risks, including but not limited to tariffs and other barriers; difficulties in staffing and managing non-U.S. operations; adverse tax consequences; foreign currency exchange rate fluctuations; changes in currency controls; compliance with U.S. and international laws and regulations, including U.S. export restrictions; and economic and political conditions. Any of these factors may have a material adverse effect on our business, financial position, and results of operations and cash flows. For geographical reporting, revenue is attributed to the geographic location in which the customers’ facilities are located. Refer to Note 20 of our Consolidated Financial Statements, included in Item 8 of this report, for the attribution of revenue by geographic region. Long-lived Assets Refer to Note 20 of our Consolidated Financial Statements, included in Item 8 of this report, for information concerning the geographic locations of long-lived assets. 8 Customers Our customers include all of the world’s leading semiconductor manufacturers. Customers continue to establish joint ventures, alliances, and licensing arrangements which have the potential to positively or negatively impact our competitive position and market opportunities. Customers accounting for greater than 10% of total revenues in fiscal year 2020 included Micron Technology, Inc.; Samsung Electronics Company, Ltd.; SK hynix Inc.; and Taiwan Semiconductor Manufacturing Company. Customers accounting for greater than 10% of total revenues in fiscal year 2019 included Micron Technology, Inc.; Samsung Electronics Company, Ltd.; SK hynix Inc.; and Toshiba Memory Holding Corporation (presently known as Kioxia Corporation). Customers accounting for greater than 10% of total revenues in fiscal year 2018 included Intel Corporation; Micron Technology, Inc.; Samsung Electronics Company, Ltd.; SK hynix Inc.; and Toshiba Memory Corporation (presently known as Kioxia Corporation). A material reduction in orders from our customers could adversely affect our results of operations and projected financial condition. Our business depends upon the expenditures of semiconductor manufacturers. Semiconductor manufacturers’ businesses, in turn, depend on many factors, including their economic capability, the current and anticipated market demand for ICs, and the availability of equipment capacity to support that demand. Backlog In general, we schedule production of our systems based upon our customers’ delivery requirements and forecasts. In order for a system to be included in our backlog, the following conditions must be met: (1) we have received a written customer request that has been accepted, (2) we have an agreement on prices and product specifications, and (3) there is a scheduled shipment within the next 12 months. In order for spares and services to be included in our backlog, the following conditions must be met: (1) we have received a written customer request that has been accepted and (2) delivery of products or provision of services is anticipated within the next 12 months. Where specific spare parts and customer service purchase contracts do not contain discrete delivery dates, we use volume estimates at the contract price and over the contract period, not to exceed 12 months, in calculating backlog amounts. Our policy is to revise our backlog for order cancellations and to make adjustments to reflect, among other things, changes in spares volume estimates and customer delivery date changes. As of June 28, 2020, and June 30, 2019, our backlog was $2.9 billion and $1.6 billion, respectively. Generally, orders for our products and services are subject to cancellation by our customers with limited penalties. Because some orders are received and shipped in the same quarter and because customers may change delivery dates and cancel orders, our backlog at any particular date is not necessarily indicative of business volumes or actual revenue levels for succeeding periods. Manufacturing Our manufacturing operations mainly consist of assembling and testing components, sub-assemblies, and modules that are then integrated into finished systems prior to shipment to or at the location of our customers. The assembly and testing of our products is conducted predominately in cleanroom environments. We have agreements with third parties to outsource certain aspects of our manufacturing, production warehousing, and logistics functions. These outsourcing contracts provide us more flexibility to scale our operations up or down in a timely and cost-effective manner, enabling us to respond quickly to any changes in our business. We believe that we have selected reputable providers and have secured their performance on terms documented in written contracts. However, it is possible that one or more of these providers could fail to perform as we expect, and such failure could have an adverse impact on our business and have a negative effect on our operating results and financial condition. Overall, we believe we have effective mechanisms to manage risks associated with our outsourcing relationships. Refer to Note 17 of our Consolidated Financial Statements, included in Item 8 of this report, for further information concerning our outsourcing commitments, reported as a component of purchase obligations. Certain components and sub-assemblies that we include in our products may only be obtained from a single supplier. We believe that, in many cases, we could obtain and qualify alternative sources to supply these products. Nevertheless, any prolonged inability to obtain these components could have an adverse effect on our operating results and could unfavorably impact our customer relationships. Environmental Matters We are subject to a variety of governmental regulations related to the management of hazardous materials that we use in our business operations. We are currently not aware of any pending notices of violations, fines, lawsuits, or investigations arising from environmental matters that would have a material effect on our business. We believe that we are generally in compliance with these regulations and that we have obtained (or will obtain or are otherwise addressing) all necessary environmental permits to Continues on next page (cid:2) Lam Research Corporation 2020 10-K 9 conduct our business. Nevertheless, the failure to comply with present or future regulations could result in fines being imposed on us, require us to suspend production or cease operations, or cause our customers to not accept our products. These regulations could require us to alter our current operations, to acquire significant additional equipment, or to incur substantial other expenses to comply with environmental regulations. Our failure to control the use, sale, transport, or disposal of hazardous substances could subject us to future liabilities. Employees As of August 13, 2020, we had approximately 11,300 regular employees globally. Although we have employment-related agreements with a number of key employees, these agreements do not guarantee continued service. Each of our employees is required to comply with our policies relating to maintaining the confidentiality of our non-public information. As noted previously, we outsource certain aspects of our manufacturing, field service, production warehousing, and logistics functions to provide us more flexibility to scale our operations up or down in a timely and cost-effective manner, enabling us to respond quickly to any changes in our business. In the semiconductor and semiconductor capital equipment industries, competition for highly skilled employees is intense. Our future success depends, to a significant extent, upon our continued ability to attract and retain qualified employees, particularly in the R&D and customer support functions. Competition The semiconductor capital equipment industry is characterized by rapid change and is highly competitive throughout the world. To compete effectively, we invest significant financial resources targeted to strengthen and enhance our product and services portfolio and to maintain customer service and support locations globally. Semiconductor manufacturers evaluate capital equipment suppliers in many areas, including but not limited to process performance, productivity, defect control, customer support, and overall cost of ownership, which can be affected by many factors such as equipment design, reliability, software advancements, and similar factors. Our ability to succeed in the marketplace depends upon our ability to maintain existing products and introduce product enhancements and new products that meet customer requirements on a timely basis. In addition, semiconductor manufacturers must make a substantial investment to qualify and integrate new capital equipment into semiconductor production lines. As a result, once a semiconductor manufacturer has selected a particular supplier’s equipment and qualified it for production, the manufacturer generally maintains that selection for that specific production application and technology node as long as the supplier’s products demonstrate performance to specification in the installed base. Accordingly, we may experience difficulty in selling to a given customer if that customer has qualified a competitor’s equipment. We must also continue to meet the expectations of our installed base of customers through the delivery of high-quality and cost-efficient spare parts in the presence of competition from third-party spare parts providers. We face significant competition with all of our products and services. Our primary competitor in the dielectric and metals deposition market is Applied Materials, Inc. For ALD and PECVD, we also compete against ASM International and Wonik IPS. In the etch market, our primary competitors are Applied Materials, Inc.; Hitachi, Ltd.; and Tokyo Electron, Ltd., and our primary competitors in the wet clean market are Screen Holding Co., Ltd.; Semes Co., Ltd.; and Tokyo Electron, Ltd. We face competition from a number of established and emerging companies in the industry. We expect our competitors to continue to improve the design and performance of their current products and processes, to introduce new products and processes with enhanced price/performance characteristics, and to provide more comprehensive offerings of products. If our competitors make acquisitions or enter into strategic relationships with leading semiconductor manufacturers, or other entities, covering products similar to those we sell, our ability to sell our products to those customers could be adversely affected. Strategic investments to encourage local semiconductor manufacturing and supply chain in China could increase competition from domestic equipment manufacturers in China. There can be no assurance that we will continue to compete successfully in the future. Patents and Licenses Our policy is to seek patents on inventions relating to new or enhanced products and processes developed as part of our ongoing research, engineering, manufacturing, and support activities. We currently hold a number of U.S. and foreign patents and applications covering various aspects of our products and processes. Our patents, which cover material aspects of our past and present core products, have current durations ranging from approximately one to twenty years. We believe that, although the patents we own and may obtain in the future will be of value, they alone will not determine our success. Our success depends principally upon our research and development, engineering, marketing, support, and delivery skills. However, in the absence of patent protection, we may be vulnerable to competitors who attempt to imitate our products, manufacturing techniques, and processes. In addition, other companies and inventors may receive patents that contain claims applicable to our products and 10 processes. The sale of products covered by patents of others could require licenses that may not be available on terms acceptable to us, or at all. For further discussion of legal matters, see Item 3, “Legal Proceedings,” of this report. Information about our Executive Officers As of August 13, 2020, the executive officers of Lam Research were as follows: Name Age Title Timothy M. Archer Douglas R. Bettinger Richard A. Gottscho Ava M. Hahn Kevin D. Jennings Patrick J. Lord Scott G. Meikle Vahid Vahedi Seshasayee (Sesha) Varadarajan 53 53 68 47 55 54 58 54 45 President and Chief Executive Officer Executive Vice President, Chief Financial Officer, and Chief Accounting Officer Executive Vice President, Chief Technology Officer Senior Vice President, Chief Legal Officer and Secretary Senior Vice President, Global Operations Senior Vice President and General Manager, CSBG Senior Vice President, Global Customer Operations Senior Vice President and General Manager, Etch Business Unit Senior Vice President and General Manager, Deposition Business Unit Timothy M. Archer has been our president and chief executive officer since December 2018. Prior to this, he served as our president and chief operating officer, from January 2018 to November 2018. Mr. Archer joined us in June 2012 as our executive vice president, chief operating officer. Prior to joining us, he spent 18 years at Novellus Systems, Inc., (“Novellus”) in various technology development and business leadership roles, including most recently as chief operating officer from January 2011 to June 2012; executive vice president of Worldwide Sales, Marketing, and Customer Satisfaction from September 2009 to January 2011; and executive vice president of the PECVD and Electrofill Business Units from November 2008 to September 2009. His tenure at Novellus also included assignments as senior director of technology for Novellus Systems Japan from 1999 to 2001 and senior director of technology for the Electrofill Business Unit from April 2001 to April 2002. He started his career in 1989 at Tektronix, where he was responsible for process development for high-speed bipolar ICs. Mr. Archer completed the Program for Management Development at the Harvard Graduate School of Business and earned a B.S. degree in applied physics from the California Institute of Technology. Douglas R. Bettinger is our executive vice president, chief financial officer, and chief accounting officer with responsibility for Finance, Tax, Treasury, Information Technology, and Investor Relations. Prior to joining the Company in 2013, Mr. Bettinger served as senior vice president and chief financial officer of Avago Technologies from 2008 to 2013. From 2007 to 2008, he served as vice president of Finance and corporate controller at Xilinx, Inc., and from 2004 to 2007, he was chief financial officer at 24/7 Customer, a privately held company. Mr. Bettinger worked at Intel Corporation from 1993 to 2004, where he held several senior- level finance positions, including corporate planning and reporting controller and Malaysia site operations controller. Mr. Bettinger earned an M.B.A. degree in finance from the University of Michigan and a B.S. degree in economics from the University of Wisconsin in Madison. Richard A. Gottscho is our executive vice president, chief technology officer, a position he has held since May 2017. Dr. Gottscho previously served as executive vice president, Global Products Group beginning in August 2010; and group vice president and general manager, Etch Businesses beginning in March 2007. He joined us in January 1996 and has held various director and vice president roles spanning across deposition, etch, and clean products. Prior to joining us, he was a member of Bell Laboratories for 15 years, where he headed research departments in electronics materials, electronics packaging, and flat panel displays. In 2016, Dr. Gottscho was elected to the U.S. National Academy of Engineering. He is the recipient of many awards, including the American Vacuum Society’s Peter Mark Memorial Award, the Plasma Science and Technology Division Prize, the Dry Process Symposium Nishizawa Award, and the Tegal Thinker Award. He is a fellow of the American Physical and American Vacuum Societies. He has authored numerous papers, patents, and lectures, and has served on editorial boards of peer-reviewed technical publications and program committees for major conferences in plasma science and engineering. He served as vice-chair of a National Research Council study on plasma science. Dr. Gottscho earned Ph.D. and B.S. degrees in physical chemistry from the Massachusetts Institute of Technology and Pennsylvania State University, respectively. Ava M. Hahn is our senior vice president, chief legal officer and secretary. She joined the Company in January 2020 and is responsible for global legal matters. Prior to joining us, Ms. Hahn served as executive vice president, chief compliance officer, Continues on next page (cid:2) Lam Research Corporation 2020 10-K 11 general counsel and secretary of CA Technologies, an enterprise software company, from February 2019 to November 2019 (until its acquisition by Broadcom Corp.), general counsel and secretary of Aruba Networks, a provider of networking products, from April 2013 to June 2016 (until its acquisition by Hewlett Packard Enterprise), general counsel and secretary of ShoreTel, Inc. from 2007 to 2013, and general counsel and secretary of Genesis Microchip from 2002 to 2007. Ms. Hahn also served as general counsel of venture capital firms Kleiner Perkins and Felicis Ventures. She started her career at the law firm of Wilson Sonsini Goodrich & Rosati, where she practiced corporate and securities law. Ms. Hahn earned a J.D. from Columbia Law School and a B.A. in history from the University of California, Berkeley. Kevin D. Jennings is our senior vice president, global operations, a position he has held since February 2018 in which he is responsible for worldwide manufacturing, supply chain, logistics, and facilities. Prior to that time, he had been group vice president, global operations beginning in June 2013; and vice president, strategic development, beginning in June 2012. Prior to our acquisition of Novellus in June 2012, he held a variety of executive roles covering engineering, business development, marketing, product line general management, and operations at Novellus. Mr. Jennings has over 30 years of experience in the semiconductor capital equipment industry that includes KLA-Tencor Corporation (“KLA-Tencor”, presently named KLA Corporation) and began in 1986 at Applied Materials. He earned an M.B.A. from Pepperdine University and an undergraduate degree in electrical engineering technology from DeVry University. Patrick J. Lord is our senior vice president and general manager of the Customer Support Business Group, a position he has held since December 2016. Previously, Dr. Lord held the position of group vice president and deputy general manager of the Global Products Group from September 2013 to December 2016. He served as the head of the Direct Metals, GapFill, Surface Integrity Group, and Integrated Metals (“DGSI”) Business Units between June 2012 and September 2013. Prior to our acquisition of Novellus in June 2012, Dr. Lord was senior vice president and general manager of the DGSI Business Units at Novellus. Additionally, Dr. Lord held the position of senior vice president of Business Development and Strategic Planning. He joined Novellus in 2001 and held a number of other positions, including executive vice president and general manager of the CMP Business Unit, senior director of Business Development, senior director of Strategic Marketing, and acting vice president of Corporate Marketing. Before joining Novellus, Dr. Lord spent six years at KLA-Tencor in various product marketing and management roles. He earned his Ph.D., M.S., and B.S. degrees in mechanical engineering from the Massachusetts Institute of Technology. Scott G. Meikle is our senior vice president of Global Customer Operations, a position he has held since September 2017. Before joining us, he was an independent consultant for a year and director, special projects at Micron Technology, Inc., a semiconductor manufacturing company, for seven months. Prior to that time, he spent over five and a half years at Inotera Memories, Inc., a semiconductor manufacturing company, most recently as its president from August 2012 to December 2015. Dr. Meikle started his career in process R&D and advanced to various leadership roles in business operations across multiple geographies for Micron Technology, and has over 25 years of experience in the memory devices sector of the semiconductor industry. He earned his Ph.D. and M. Eng. degrees in engineering physics from Shizuoka University and McMaster University, respectively, and a B.S. degree in physics from the University of Calgary. Vahid Vahedi is our senior vice president and general manager of the Etch Business Unit, a position he has held since February 2018. Prior to that time, he was group vice president of the Etch product group since March 2012. Previously, he served as vice president of Etch Business Product Management and Marketing, vice president of Dielectric Etch, vice president of Conductor and 3DIC Etch, and director of Conductor Etch Technology Development. He joined us in 1995. He earned his Ph.D., M.S., and B.S. degrees in electrical engineering and computer science from the University of California, Berkeley. Sesha Varadarajan is our senior vice president and general manager of the Deposition Business Unit, a position he has held since February 2018. Prior that time, he was group vice president of the Deposition product group since September 2013. Previously, he served as the head of the PECVD/Electrofill Business Unit between June 2012 and September 2013. Prior to our acquisition of Novellus in June 2012, Mr. Varadarajan was senior vice president and general manager of Novellus’ PECVD and Electrofill Business Units. He joined Novellus in 1999 as a process engineer with the Electrofill Business Unit and held various roles in that business unit before being appointed director of technology in 2004. Between 2006 and 2008, he worked in the PECVD Business Unit, initially as director of technology, until being promoted to product general manager. In 2009, he returned to the Electrofill Business Unit as vice president and general manager. In mid-2011, he was promoted to senior vice president and general manager, where he was also responsible for the PECVD Business Unit. Mr. Varadarajan earned an M.S. degree in manufacturing engineering and material science from Boston University and a B.S. degree in mechanical engineering from the University of Mysore. 12 Item 1A. Risk Factors In addition to the other information in this Annual Report on Form 10-K (“2020 Form 10-K”), the following risk factors should be carefully considered in evaluating us and our business because such factors may significantly impact our business, operating results, and financial condition. Many of the following risk factors are, and will be, exacerbated by the COVID-19 pandemic and any worsening of the global business and economic environment as a result. As a result of these risk factors, as well as other risks discussed in our other SEC filings, our actual results could differ materially from those projected in any forward-looking statements. No priority or significance is intended by, nor should be attached to, the order in which the risk factors appear. The Recent COVID-19 Outbreak Has Adversely Impacted, and May Continue to Adversely Impact, Our Business, Operations, and Financial Results The COVID-19 outbreak and efforts by national, state and local governments worldwide to control its spread have resulted in widespread measures aimed at containing the disease such as quarantines, travel bans, shutdowns, and shelter in place or “stay at home” orders, which collectively have significantly restricted the movement of people and goods and the ability of businesses to operate. These restrictions and measures, incidents of confirmed or suspected infections within our workforce or those of our suppliers or other business partners, and our efforts to act in the best interests of our employees, customers, and suppliers, have affected and are affecting our business and operations by, among other things, causing facility closures, production delays and capacity limitations; disrupting production by our supply chain; disrupting the transport of goods from our supply chain to us and from us to our customers; requiring modifications to our business processes; requiring the implementation of business continuity plans; requiring the development and qualification of alternative sources of supply; requiring the implementation of social distancing measures that require changes to existing manufacturing processes; disrupting business travel; disrupting our ability to staff our on-site manufacturing and research and development facilities; delaying capital expansion projects; and necessitating teleworking by a large proportion of our workforce. These impacts have caused and are expected to continue to cause delays in product shipments and product development, increases in costs, and decreases in revenue, profitability and cash from operations, which have caused and are expected to cause an adverse effect on our results of operations that may be material. The potential duration and impact of the outbreak on the global economy and on our business are difficult to predict and cannot be estimated with any degree of certainty, but the outbreak has resulted in significant disruption of global financial markets, increases in levels of unemployment, and economic uncertainty, which has adversely impacted our business and may continue to do so, and may lead to significant negative impacts on customer spending, demand for our products, the ability of our customers to pay, our financial condition and the financial condition of our suppliers, and our access to external sources of financing to fund our operations and capital expenditures. The Semiconductor Capital Equipment Industry Is Subject to Variability and Periods of Rapid Growth or Decline; We Therefore Face Risks Related to Our Strategic Resource Allocation Decisions The semiconductor capital equipment industry has historically been characterized by rapid changes in demand. The industry environment has moved toward being more characterized by variability across segments and customers, accentuated by consolidation within the industry. Variability in our customers’ business plans may lead to changes in demand for our equipment and services, which could negatively impact our results. The variability in our customers’ investments during any particular period is dependent on several factors, including but not limited to electronics demand, economic conditions (both general and in the semiconductor and electronics industries), industry supply and demand, prices for semiconductors, and our customers’ ability to develop and manufacture increasingly complex and costly semiconductor devices. The changes in demand may require our management to adjust spending and other resources allocated to operating activities. During periods of rapid growth or decline in demand for our products and services, we face significant challenges in maintaining adequate financial and business controls, management processes, information systems, and procedures for training, assimilating, and managing our workforce, and in appropriately sizing our supply chain infrastructure and facilities, work force, and other components of our business on a timely basis. If we do not adequately meet these challenges during periods of increasing or declining demand, our gross margins and earnings may be negatively impacted. For example, the recent COVID-19 outbreak has impacted and could further impact our ability to meet the demand for our products due to production, sourcing, logistics and other challenges resulting from quarantines, shelter in place or “stay at home” orders, facility closures, workforce challenges, and travel and logistics restrictions in connection with the outbreak. We continuously reassess our strategic resource allocation choices in response to the changing business environment. If we do not adequately adapt to the changing business environment, we may lack the infrastructure and resources to scale up our business to meet customer expectations and compete successfully during a period of growth, or we may expand our capacity too rapidly and/or beyond what is appropriate for the actual demand environment, resulting in excess fixed costs. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 13 Especially during transitional periods, resource allocation decisions can have a significant impact on our future performance, particularly if we have not accurately anticipated industry changes. Our success will depend, to a significant extent, on the ability of our executive officers and other members of our senior management to identify and respond to these challenges effectively. Future Declines in the Semiconductor Industry, and the Overall World Economic Conditions on Which It Is Significantly Dependent, Could Have a Material Adverse Impact on Our Results of Operations and Financial Condition Our business depends on the capital equipment expenditures of semiconductor manufacturers, which in turn depend on the current and anticipated market demand for integrated circuits. With the consolidation of customers within the industry, the semiconductor capital equipment market may experience rapid changes in demand driven both by changes in the market generally and the plans and requirements of particular customers. The economic, political, and business conditions occurring nationally, globally, or in any of our key sales regions, which are often unpredictable, have historically impacted customer demand for our products and normal commercial relationships with our customers, suppliers, and creditors. Additionally, in times of economic uncertainty, our customers’ budgets for our products, or their ability to access credit to purchase them, could be adversely affected. This would limit their ability to purchase our products and services. As a result, changing economic, political or business conditions can cause material adverse changes to our results of operations and financial condition, including but not limited to: • • • • • • • • • • a decline in demand for our products or services; an increase in reserves on accounts receivable due to our customers’ inability to pay us; an increase in reserves on inventory balances due to excess or obsolete inventory as a result of our inability to sell such inventory; valuation allowances on deferred tax assets; restructuring charges; asset impairments including the potential impairment of goodwill and other intangible assets; a decline in the value of our investments; exposure to claims from our suppliers for payment on inventory that is ordered in anticipation of customer purchases that do not come to fruition; a decline in the value of certain facilities we lease to less than our residual value guarantee with the lessor; and challenges maintaining reliable and uninterrupted sources of supply. Fluctuating levels of investment by semiconductor manufacturers may materially affect our aggregate shipments, revenues, operating results, and earnings. Where appropriate, we will attempt to respond to these fluctuations with cost management programs aimed at aligning our expenditures with anticipated revenue streams, which sometimes result in restructuring charges. Even during periods of reduced revenues, we must continue to invest in R&D and maintain extensive ongoing worldwide customer service and support capabilities to remain competitive, which may temporarily harm our profitability and other financial results. Our Revenues and Operating Results Are Variable Our revenues and operating results may fluctuate significantly from quarter to quarter or year to year due to a number of factors, not all of which are in our control. We manage our expense levels based in part on our expectations of future revenues. Because our operating expenses are based in part on anticipated future revenues, and a certain amount of those expenses are relatively fixed, a change in the timing of recognition of revenue and/or the level of gross profit from a small number of transactions can unfavorably affect operating results in a particular quarter or year. Factors that may cause our financial results to fluctuate unpredictably include but are not limited to: • • • • • economic conditions in the electronics and semiconductor industries in general and specifically the semiconductor equipment industry; the size and timing of orders from customers; consolidation of the customer base, which may result in the investment decisions of one customer or market having a significant effect on demand for our products or services; procurement shortages; the failure of our suppliers or outsource providers to perform their obligations in a manner consistent with our expectations; • manufacturing difficulties; • • • customer cancellations or delays in shipments, installations, customer payments, and/or customer acceptances; the extent that customers continue to purchase and use our products and services in their business; our customers’ reuse of existing and installed products, to the extent that such reuse decreases their need to purchase new products or services; 14 • • • • • • • • changes in average selling prices, customer mix, and product mix; our ability to develop, introduce, and market new, enhanced, and competitive products in a timely manner; our competitors’ introduction of new products; legal or technical challenges to our products and technologies; transportation, communication, demand, information technology, or supply disruptions based on factors outside our control, such as strikes, acts of God, wars, terrorist activities, widespread outbreak of illness, and natural or man-made disasters; legal, tax, accounting, or regulatory changes (including but not limited to change in import/export regulations and tariffs) or changes in the interpretation or enforcement of existing requirements; changes in our estimated effective tax rate; and foreign currency exchange rate fluctuations. For example, the recent COVID-19 outbreak has impacted and could further impact our ability to meet the demand for our products due to production, sourcing, logistics and other challenges resulting from quarantines, shelter in place or “stay at home” orders, facility closures, workforce challenges, and travel and logistics restrictions in connection with the outbreak. The Market for Our Common Stock Is Volatile, Which May Affect Our Ability to Raise Capital or Make Acquisitions or May Subject Our Business to Additional Costs The market price for our Common Stock is volatile and has fluctuated significantly over the past years. The trading price of our Common Stock could continue to be highly volatile and fluctuate widely in response to a variety of factors, many of which are not within our control or influence. These factors include but are not limited to the following: • • • • • • • • • • general market, semiconductor, or semiconductor equipment industry conditions; economic or political events, trends, and unexpected developments occurring nationally, globally, or in any of our key sales regions; variations in our quarterly operating results and financial condition, including our liquidity; variations in our revenues, earnings, or other business and financial metrics from forecasts by us or securities analysts or from those experienced by other companies in our industry; announcements of restructurings, reductions in force, departure of key employees, and/or consolidations of operations; government regulations; developments in, or claims relating to, patent or other proprietary rights; technological innovations and the introduction of new products by us or our competitors; commercial success or failure of our new and existing products; or disruptions of relationships with key customers or suppliers. In addition, the stock market experiences significant price and volume fluctuations. Historically, we have witnessed significant volatility in the price of our Common Stock due in part to the price of and markets for semiconductors. These and other factors have adversely affected and may again adversely affect the price of our Common Stock, regardless of our actual operating performance. In the past, following volatile periods in the price of their stock, many companies became the object of securities class action litigation. If we are sued in a securities class action, we could incur substantial costs, and it could divert management’s attention and resources and have an unfavorable impact on our financial performance and the price for our Common Stock. Certain Critical Information Systems, That We Rely on for the Operation of Our Business and Products That We Sell, Are Susceptible to Cybersecurity and Other Threats or Incidents We maintain and rely upon certain critical information systems for the effective operation of our business. These information systems include but are not limited to, telecommunications, the Internet, our corporate intranet, various computer hardware and software applications, (some of which may be integrated into the products that we sell or be required in order to provide the services that we offer), network communications, and email. These information systems may be owned and maintained by us, our outsourced providers, or third parties such as vendors, contractors, customers and Cloud providers. In addition, we make use of Software-As-A-Service (SAAS) products for certain important business functions that are provided by third parties and hosted on their own networks and servers, or third-party networks and servers, all of which rely on networks, email and/or the Internet for their function. All of these information systems are subject to disruption, breach or failure from various sources, including those using techniques that change frequently or may be disguised or difficult to detect, or designed to remain dormant until a triggering event, or that may continue undetected for an extended period of time. Those sources may include mistakes or unauthorized actions by Continues on next page (cid:2) Lam Research Corporation 2020 10-K 15 our employees or contractors, phishing schemes and other third-party attacks, and degradation or loss of service or access to data due to viruses, malware, denial of service attacks, destructive or inadequate code, power failures, or physical damage to computers, hard drives, communication lines, or networking equipment. We have experienced cybersecurity and other threats and incidents in the past. Although past threats and incidents have not resulted in a material adverse effect, we may incur material losses related to cybersecurity and other threats or incidents in the future. If we were subject to a cybersecurity and other incident, it could have a material adverse effect on our business. Such adverse effects might include: • loss of (or inability to access, e.g. through ransomware) confidential and/or sensitive information stored on these critical information systems or transmitted to or from those systems; the disruption of the proper function of our products, services and/or operations; the failure of our or our customers’ manufacturing processes; errors in the output of our work or our customers’ work; the loss or public exposure of the personal information of our employees, customers or other parties; the public release of customer orders, financial and business plans, and operational results; exposure to claims from third parties who are adversely impacted by such incidents; • • • • • • • Misappropriation or theft of our or a customer’s, supplier’s or other party’s assets or resources, including technology • • • data, intellectual property or other sensitive information and costs associated therewith; reputational damage; diminution in the value of our investment in research, development and engineering; or our failure to meet, or violation of, regulatory or other legal obligations, such as the timely publication or filing of financial statements, tax information and other required communications. While we have implemented ISO 27001 compliant security procedures and virus protection software, intrusion prevention systems, identity and access control, and emergency recovery processes, and we carefully select our third-party providers of information systems, to mitigate risks to the information systems that we rely on, and to our technology, data, intellectual property and other sensitive information, those security procedures and mitigation and protection systems cannot be guaranteed to be fail-safe and we may still suffer cybersecurity and other incidents. It has been difficult and may continue to be difficult to hire and retain employees with substantial cybersecurity acumen. In addition, our policies and procedures may not be effective in enabling us to identify risks, threats and incidents in a timely manner, or at all, or to respond expediently, appropriately and effectively when incidents occur and repair any damage caused by such incidents, which could have a material adverse effect on our business. We Have a Limited Number of Key Customers Sales to a limited number of large customers constitute a significant portion of our overall revenue, shipments, cash flows, collections, and profitability. As a result, the actions of even one customer may subject us to variability in those areas that is difficult to predict. In addition, large customers may be able to negotiate requirements that result in decreased pricing, increased costs, and/or lower margins for us; compliance with specific environmental, social, and corporate governance standards; and limitations on our ability to share technology with others. Similarly, significant portions of our credit risk may, at any given time, be concentrated among a limited number of customers so that the failure of even one of these key customers to pay its obligations to us could significantly impact our financial results. We Depend on Creating New Products and Processes and Enhancing Existing Products and Processes for Our Success; Consequently, We Are Subject to Risks Associated with Rapid Technological Change Rapid technological changes in semiconductor manufacturing processes subject us to increased pressure to develop technological advances that enable those processes. We believe that our future success depends in part upon our ability to develop and offer new products with improved capabilities and to continue to enhance our existing products. If new products or existing products have reliability, quality, design, or safety problems, our performance may be impacted by reduced orders, higher manufacturing costs, delays in acceptance of and payment for new products, and additional service and warranty expenses. We may be unable to develop and manufacture products successfully, or products that we introduce may fail in the marketplace. For more than 25 years, the primary driver of technology advancement in the semiconductor industry has been to shrink the lithography that prints the circuit design on semiconductor chips. That driver could be approaching its technological limit, leading semiconductor manufacturers to investigate more complex changes in multiple technologies in an effort to continue technology development. In addition, the emergence of “big data” and new tools such as machine learning and artificial intelligence that capitalize on the availability of large data sets is leading semiconductor manufacturers and equipment manufacturers to pursue new products and approaches that exploit those tools to advance technology development. In the face of uncertainty on which technology solutions 16 will become successful, we will need to focus our efforts on developing the technology changes that are ultimately successful in supporting our customer requirements. Our failure to develop and offer the correct technology solutions in a timely manner with productive and cost-effective products could adversely affect our business in a material way. Our failure to commercialize new products in a timely manner could result in loss of market share, unanticipated costs, and inventory obsolescence, which would adversely affect our financial results. In order to develop new products and processes and enhance existing products and processes, we expect to continue to make significant investments in R&D, to investigate the acquisition of new products and technologies, to invest in or acquire such business or technologies, and to pursue joint development relationships with customers, suppliers, or other members of the industry. Our investments and acquisitions may not be as successful as we may expect, particularly in the event that we invest in or acquire product lines and technologies that are new to us. We may find that acquisitions are not available to us, for regulatory or other reasons, and that we must therefore limit ourselves to collaboration and joint venture development activities, which do not have the same benefits as acquisitions. Pursuing development through collaboration and/or joint development activities rather than through an acquisition poses substantial challenges for management, including those related to aligning business objectives; sharing confidential information, intellectual property and data; sharing value with third parties; and realizing synergies that might have been available in an acquisition but are not available through a joint development project. We must manage product transitions and joint development relationships successfully, as the introduction of new products could adversely affect our sales of existing products and certain jointly developed technologies may be subject to restrictions on our ability to share that technology with other customers, which could limit our market for products incorporating those technologies. Future technologies, processes, or product developments may render our current product offerings obsolete, leaving us with non-competitive products, obsolete inventory, or both. Moreover, customers may adopt new technologies or processes to address the complex challenges associated with next-generation devices. This shift may result in a reduction in the size of our addressable markets or could increase the relative size of markets in which we either do not compete or have relatively low market share. We Are Subject to Risks Relating to Product Concentration and Lack of Product Revenue Diversification We derive a substantial percentage of our revenues from a limited number of products. Our products are priced up to approximately $15 million per system. As a result, the inability to recognize revenue on even a few systems can cause a significantly adverse impact on our revenues for a given quarter, and, in the longer term, the continued market acceptance of these products is critical to our future success. Our business, operating results, financial condition, and cash flows could therefore be adversely affected by: • • • • • • • • a decline in demand for even a limited number of our products, a failure to achieve continued market acceptance of our key products, export restrictions or other regulatory or legislative actions that could limit our ability to sell those products to key customers or customers within certain markets, an improved version of products being offered by a competitor in the markets in which we participate, increased pressure from competitors that offer broader product lines, increased pressure from regional competitors, technological changes that we are unable to address with our products, or a failure to release new or enhanced versions of our products on a timely basis. In addition, the fact that we offer limited product lines creates the risk that our customers may view us as less important to their business than our competitors that offer additional products and/or product capabilities, including new products that take advantage of “big data” or other new technologies such as machine learning and artificial intelligence. This may impact our ability to maintain or expand our business with certain customers. Such product concentration may also subject us to additional risks associated with technology changes. Our business is affected by our customers’ use of our products in certain steps in their wafer fabrication processes. Should technologies change so that the manufacture of semiconductors requires fewer steps using our products, this could have a larger impact on our business than it would on the business of our less concentrated competitors. Strategic Alliances and Customer Consolidation May Have Negative Effects on Our Business Increasingly, semiconductor manufacturing companies are entering into strategic alliances or consolidating with one another to expedite the development of processes and other manufacturing technologies and/or achieve economies of scale. The outcomes of such an alliance can be the definition of a particular tool set for a certain function and/or the standardization of a series of process steps that use a specific set of manufacturing equipment, while the outcomes of consolidation can lead to an overall reduction in the market for semiconductor manufacturing equipment as customers’ operations achieve economies of scale and/or Continues on next page (cid:2) Lam Research Corporation 2020 10-K 17 increased purchasing power based on their higher volumes. In certain instances, this could work to our disadvantage if a competitor’s tools or equipment become the standard equipment for such functions or processes. Additional outcomes of such consolidation may include our customers re-evaluating their future supplier relationships to consider our competitors’ products and/ or gaining additional influence over the pricing of products and the control of intellectual property or data. Similarly, our customers may partner with, or follow the lead of, educational or research institutions that establish processes for accomplishing various tasks or manufacturing steps. If those institutions utilize a competitor’s equipment when they establish those processes, it is likely that customers will tend to use the same equipment in setting up their own manufacturing lines. Even if they select our equipment, the institutions and the customers that follow their lead could impose conditions on acceptance of that equipment, such as adherence to standards and requirements or limitations on how we license our proprietary rights, that increase our costs or require us to take on greater risk. These actions could adversely impact our market share and financial results. We Depend on a Limited Number of Key Suppliers and Outsource Providers, and We Run the Risk That They Might Not Perform as We Expect Outsource providers and component suppliers have played and will continue to play a key role in our product development, manufacturing operations, field installation and support, and many of our transactional and administrative functions, such as information technology, facilities management, and certain elements of our finance organization. These providers and suppliers might suffer financial setbacks, be acquired by third parties, become subject to exclusivity arrangements that preclude further business with us, or be unable to meet our requirements or expectation due to their independent business decisions or force majeure events that could interrupt or impair their continued ability to perform as we expect. Although we attempt to select reputable providers and suppliers and we attempt to secure their performance on terms documented in written contracts, it is possible that one or more of these providers or suppliers could fail to perform as we expect, or fail to secure or protect intellectual property rights, and such failure could have an adverse impact on our business. In some cases, the requirements of our business mandate that we obtain certain components and sub-assemblies included in our products from a single supplier or a limited group of suppliers. Where practical, we endeavor to establish alternative sources to mitigate the risk that the failure of any single provider or supplier will adversely affect our business, but this is not feasible in all circumstances. There is therefore a risk that a prolonged inability to obtain certain components or secure key services could impair our ability to manage operations, ship products, and generate revenues, which could adversely affect our operating results and damage our customer relationships. For example, the recent COVID-19 outbreak has impacted and could further impact our manufacturing operations, supply chain, and customer support due to production, sourcing, logistics and other challenges resulting from quarantines, “stay at home” orders, facility closures, workforce challenges, and travel and logistics restrictions in connection with the outbreak. We Face Risks Related to the Disruption of Our Primary Manufacturing Facilities While we maintain business continuity plans, our manufacturing facilities are concentrated in a limited number of locations. These locations are subject to disruption for a variety of reasons, such as natural or man-made disasters, widespread outbreaks of illness, terrorist activities, political or governmental unrest or instability, disruptions of our information technology resources, utility interruptions, or other events beyond our control. Such disruptions may cause delays in shipping our products, which could result in the loss of business or customer trust, adversely affecting our business and operating results. For example, the recent COVID-19 outbreak has impacted and could further impact our manufacturing operations, supply chain, and customer support due to production, sourcing, logistics and other challenges resulting from quarantines, “stay at home” orders, facility closures, workforce challenges, and travel and logistics restrictions in connection with the outbreak. Our Future Success Depends Heavily on International Sales and the Management of Global Operations Non-U.S. sales, as reflected in Part II Item 7. Results of Operation of this 2020 Form 10-K, accounted for approximately 92%, 92%, and 93% of total revenue in fiscal years 2020, 2019, and 2018, respectively. We expect that international sales will continue to account for a substantial majority of our total revenue in future years. We are subject to various challenges related to international sales and the management of global operations including, but not limited to: • • • • • domestic and international trade regulations, policies, practices, relations, disputes and issues; domestic and international tariffs, export controls and other barriers; developing customers and/or suppliers, who may have limited access to capital resources; global or national economic and political conditions; changes in currency controls; 18 • • • • • • differences in the enforcement of intellectual property and contract rights in varying jurisdictions; our ability to respond to customer and foreign government demands for locally sourced systems, spare parts, and services and develop the necessary relationships with local suppliers; changes in and compliance with U.S. and international laws and regulations affecting foreign operations, including U.S. and international trade restrictions and sanctions, anti-bribery, anti-corruption, environmental, tax, and labor laws; fluctuations in interest and foreign currency exchange rates; the need for technical support resources in different locations; and our ability to secure and retain qualified people, and effectively manage people, in all necessary locations for the successful operation of our business. For example, the recent COVID-19 outbreak has impacted and could further impact our manufacturing operations, supply chain, and customer support due to production, sourcing, logistics and other challenges resulting from quarantines, “stay at home” orders, facility closures, workforce challenges, and travel and logistics restrictions in connection with the outbreak. There is inherent risk, based on the complex relationships among China, Japan, Korea, Taiwan, and the United States, that political, diplomatic and national security influences might lead to trade disputes, impacts and/or disruptions, in particular those affecting the semiconductor industry. This would adversely affect our business with China, Japan, Korea, and/or Taiwan and perhaps the entire Asia Pacific region or global economy. A significant trade dispute, impact and/or disruption in any area where we do business could have a materially adverse impact on our future revenue and profits. Tariffs, export controls, additional taxes, trade barriers, sanctions, or the termination or modification of trade agreements, trade zones, and other duty mitigation initiatives, may increase our manufacturing costs, decrease margins, reduce the competitiveness of our products, or inhibit our ability to sell products or purchase necessary equipment and supplies, which could have a material adverse effect on our business, results of operations, or financial conditions. In addition, there are risks that foreign governments may, among other things, insist on the use of local suppliers; compel companies to partner with local companies to design and supply equipment on a local basis, requiring the transfer of intellectual property rights and/or local manufacturing; utilize their influence over their judicial systems to respond to intellectual property disputes or issues; and provide special incentives to government-backed local customers to buy from local competitors, even if their products are inferior to ours; all of which could adversely impact our revenues and margins. Certain of our international sales depend on our ability to obtain export licenses from the U.S. or foreign governments. Our inability to obtain such licenses, or an expansion of the number or kinds of sales for which export licenses are required, could potentially limit the market for our products and adversely impact our revenues. We are exposed to potentially adverse movements in foreign currency exchange rates. The majority of our sales and expenses are denominated in U.S. dollars. However, we are exposed to foreign currency exchange rate fluctuations primarily related to revenues denominated in Japanese yen and expenses denominated in euro and Korean won. Currently, we hedge certain anticipated foreign currency cash flows, primarily anticipated revenues denominated in Japanese yen and expenses dominated in euro and Korean won. In addition, we enter into foreign currency hedge contracts to minimize the short-term impact of the foreign currency exchange rate fluctuations on certain foreign currency denominated monetary assets and liabilities, primarily third-party accounts receivables, accounts payables, and intercompany receivables and payables. We believe these are our primary exposures to currency rate fluctuation. We expect to continue to enter into hedging transactions, for the purposes outlined, for the foreseeable future. However, these hedging transactions may not achieve their desired effect because differences between the actual timing of the underlying exposures and our forecasts of those exposures may leave us either over or under hedged on any given transaction. Moreover, by hedging these foreign currency denominated revenues, expenses, monetary assets, and liabilities, we may miss favorable currency trends that would have been advantageous to us but for the hedges. Additionally, we are exposed to short-term foreign currency exchange rate fluctuations on non-U.S. dollar-denominated monetary assets and liabilities (other than those currency exposures previously discussed), and currently we do not enter into foreign currency hedge contracts against these exposures. Therefore, we are subject to potential unfavorable foreign currency exchange rate fluctuations to the extent that we transact business (including intercompany transactions) in these currencies. The magnitude of our overseas business also affects where our cash is generated. Certain uses of cash, such as share repurchases, payment of dividends, or the repayment of our notes, can usually only be made with onshore cash balances. Since the majority of our cash is generated outside of the United States, this may impact certain business decisions and outcomes. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 19 Our Sales to Customers in China, a Region of Growing Significance to Us, Could be Materially and Adversely Affected by Export License Requirements and Other Regulatory Changes, or Other Governmental Actions in the Course of the Trade Relationship Between the U.S. and China. China represents a large and fast-developing market for the semiconductor equipment industry and therefore is important to our business. Revenue in China represented approximately 31%, 22%, and 16% of our total revenue for fiscal years 2020, 2019, and 2018, respectively. The U.S. and China have historically had a complex relationship that has included actions that have impacted trade between the two countries. In recent months, these actions have included an expansion of export license requirements imposed by the U.S. government, which could potentially limit the market for our products and adversely impact our revenues. Specifically, on June 29, 2020, a new rule enacted by the U.S. Department of Commerce took effect that expands export license requirements for U.S. companies to sell certain items to companies in China that are designated as military end-users or have operations that could support military end uses. This rule may require us to apply for additional export licenses for our products to be sold to certain customers in China, and there is no assurance that we will be issued licenses that we may apply for on a timely basis or at all. Although we do not currently anticipate a material adverse impact from this rule on our revenues in China, the impact of this rule is uncertain and could change. In addition, our international sales may also be impacted by export licensing requirements applicable to our customers and their products. On August 17, 2020, the U.S. Department of Commerce expanded a rule originally published on May 19, 2020 in a manner that could cause foreign-made wafers, chipsets, and certain related items produced with many of our products to be subject to U.S. licensing requirements if Huawei Technologies Co. Ltd (“Huawei”) or its affiliates are parties to a transaction involving the items. This new rule does not impose additional export license requirements on our products, but it has the potential to adversely impact the demand for wafer fabrication equipment with U.S.- origin technology (potentially including many of our products) by customers that may intend to use such equipment to produce wafers, chipsets or certain related items when Huawei or its affiliates are expected to be parties to a transaction involving the items. The implementation, interpretation and impact on our business of these rules is uncertain and evolving, and these rules, other regulatory changes, and other actions taken by the governments of either the U.S. or China, or both, that have occurred and may occur in the future could materially and adversely affect our results of operations. Once a Semiconductor Manufacturer Commits to Purchase a Competitor’s Semiconductor Manufacturing Equipment, the Manufacturer Typically Continues to Purchase That Competitor’s Equipment, Making It More Difficult for Us to Sell Our Equipment to That Customer Semiconductor manufacturers must make a substantial investment to qualify and integrate wafer processing equipment into a semiconductor production line. We believe that once a semiconductor manufacturer selects a particular supplier’s processing equipment, the manufacturer generally relies upon that equipment for that specific production line application for an extended period of time, especially for customers that are more focused on tool reuse. Accordingly, we expect it to be more difficult to sell our products to a given customer for a product line application if that customer initially selects a competitor’s equipment for the same product line application. We Face a Challenging and Complex Competitive Environment We face significant competition from multiple competitors, and with increased consolidation efforts in our industry, as well as the emergence and strengthening of new, regional competitors, we may face increasing competitive pressures. Other companies continue to develop systems and/or acquire businesses and products that are competitive to ours and may introduce new products and product capabilities that may affect our ability to sell and support our existing products. We face a greater risk if our competitors enter into strategic relationships with leading semiconductor manufacturers covering products similar to those we sell or may develop, as this could adversely affect our ability to sell products to those manufacturers. We believe that to remain competitive we must devote significant financial resources to offer products that meet our customers’ needs, to maintain customer service and support centers worldwide, and to invest in product and process R&D. Technological changes and developing technologies, have required, and are expected to continue to require, new and costly investments. Certain of our competitors, including those that are created and financially backed by foreign governments, have substantially greater financial resources and more extensive engineering, manufacturing, marketing, and customer service and support resources than we do and therefore have the potential to offer customers a more comprehensive array of products and/or product capabilities and to therefore achieve additional relative success in the semiconductor equipment industry. These competitors may deeply discount or give away products similar to those that we sell, challenging or even exceeding our ability to make similar accommodations and threatening our ability to sell those products. We also face competition from our own customers, who in some instances have established affiliated entities that manufacture equipment similar to ours. In addition, we face competition from companies that exist in a more favorable legal or regulatory environment than we do, allowing the freedom of action in ways that we may be unable to match. In many cases speed to solution is necessary for customer satisfaction and our competitors may be better positioned to achieve these objectives. For these reasons, we may fail to continue to compete successfully worldwide. 20 In addition, our competitors may be able to develop products comparable or superior to those we offer or may adapt more quickly to new technologies or evolving customer requirements. In particular, while we continue to develop product enhancements that we believe will address future customer requirements, we may fail in a timely manner to complete the development or introduction of these additional product enhancements successfully, or these product enhancements may not achieve market acceptance or be competitive. Accordingly, competition may intensify, and we may be unable to continue to compete successfully in our markets, which could have a material adverse effect on our revenues, operating results, financial condition, and/or cash flows. Our Ability to Attract, Retain, and Motivate Key Employees Is Critical to Our Success Our ability to compete successfully depends in large part on our ability to attract, retain, and motivate key employees with the appropriate skills, experiences and competencies. This is an ongoing challenge due to intense competition for top talent, fluctuations in industry or business economic conditions, as well as increasing geographic expansion, and these factors in combination may result in cycles of hiring activity and workforce reductions. Our success in hiring depends on a variety of factors, including the attractiveness of our compensation and benefit programs, global economic or political and industry conditions, our organizational structure, global competition for talent and the availability of qualified employees, the availability of career development opportunities, the ability to obtain necessary authorizations for workers to provide services outside their home countries, and our ability to offer a challenging and rewarding work environment. We periodically evaluate our overall compensation and benefit programs and make adjustments, as appropriate, to maintain or enhance their competitiveness. If we are not able to successfully attract, retain, and motivate key employees, we may be unable to capitalize on market opportunities and our operating results may be materially and adversely affected. Our Financial Results May Be Adversely Impacted by Higher than Expected Tax Rates or Exposure to Additional Tax Liabilities We are subject to income, transaction, and other taxes in the United States and various foreign jurisdictions, and significant judgment is required to determine worldwide tax liabilities. The amount of taxes we pay is subject to ongoing audits in various jurisdictions, and a material assessment by a governing tax authority could affect our profitability. As a global company, our effective tax rate is highly dependent upon the geographic composition of worldwide earnings and tax regulations governing each region. Our effective tax rate could be adversely affected by changes in the split of earnings between countries with differing statutory tax rates, in the valuation allowance of deferred tax assets, in tax laws, by material audit assessments, or by changes in or expirations of agreements with tax authorities. These factors could affect our profitability. In particular, the carrying value of deferred tax assets, which are predominantly in the United States, is dependent on our ability to generate future taxable income in the United States. We Are Exposed to Various Risks from Our Regulatory Environment We are subject to various risks related to (1) new, different, inconsistent, or even conflicting laws, rules, and regulations that may be enacted by legislative or executive bodies and/or regulatory agencies in the countries that we operate; (2) disagreements or disputes related to international trade; and (3) the interpretation and application of laws, rules, and regulations. As a public company with global operations, we are subject to the laws of multiple jurisdictions and the rules and regulations of various governing bodies, including those related to export controls, financial and other disclosures, corporate governance, privacy, anti- corruption, such as the Foreign Corrupt Practices Act and other local laws prohibiting corrupt payments to governmental officials, conflict minerals or other social responsibility legislation, immigration or travel regulations, and antitrust regulations, among others. Each of these laws, rules, and regulations imposes costs on our business, including financial costs and potential diversion of our management’s attention associated with compliance, and may present risks to our business, including potential fines, restrictions on our actions, and reputational damage if we are unable to fully comply. To maintain high standards of corporate governance and public disclosure, we intend to invest appropriate resources to comply with evolving standards. Changes in or ambiguous interpretations of laws, regulations, and standards may create uncertainty regarding compliance matters. Efforts to comply with new and changing regulations have resulted in, and are likely to continue to result in, increased selling, general, and administrative expenses and a diversion of management’s time and attention from revenue-generating activities to compliance activities. If we are found by a court or regulatory agency not to be in compliance with the laws and regulations, our business, financial condition, and/or results of operations could be adversely affected. A Failure to Comply with Environmental Regulations May Adversely Affect Our Operating Results We are subject to a variety of domestic and international governmental regulations related to the handling, discharge, and disposal of toxic, volatile, or otherwise hazardous chemicals. Failure to comply with present or future environmental regulations could result Continues on next page (cid:2) Lam Research Corporation 2020 10-K 21 in fines being imposed on us, require us to undertake remediation activities, suspend production, and/or cease operations, or cause our customers to not accept our products. These regulations could require us to alter our current operations, acquire significant additional equipment, incur substantial other expenses to comply with environmental regulations, or take other actions. Any failure to comply with regulations governing the use, handling, sale, transport, or disposal of hazardous substances could subject us to future liabilities that may adversely affect our operating results, financial condition, and ability to operate our business. We May Fail to Protect Our Critical Proprietary Technology Rights, Which Could Affect Our Business Our success depends in part on our proprietary technology and our ability to protect key components of that technology through patents, copyrights, trade secrets and other forms of protection. Protecting our key proprietary technology helps us achieve our goals of developing technological expertise and new products and systems that give us a competitive advantage; increasing market penetration and growth of our installed base; and providing comprehensive support and service to our customers. As part of our strategy to protect our technology, we currently hold a number of U.S. and foreign patents and pending patent applications, and we keep certain information, processes, and techniques confidential and/or as trade secrets. However, other parties may challenge or attempt to invalidate or circumvent any patents the U.S. or foreign governments issue to us; these governments may fail to issue patents for pending applications; or we may lose trade secret protection over valuable information due to our or third parties’ intentional or unintentional actions or omissions or even those of our own employees. Additionally, intellectual property litigation can be expensive and time-consuming and even when patents are issued, or trade secret processes are followed, the legal systems in certain of the countries in which we do business might not enforce patents and other intellectual property rights as rigorously or effectively as the United States or may favor local entities in their intellectual property enforcement. The rights granted or anticipated under any of our patents, pending patent applications, or trade secrets may be narrower than we expect or, in fact, provide no competitive advantages. Moreover, because we selectively file for patent protection in different jurisdictions, we may not have adequate protection in all jurisdictions based on such filing decisions. Any of these circumstances could have a material adverse impact on our business. Intellectual Property, Indemnity, and Other Claims Against Us Can Be Costly and We Could Lose Significant Rights That Are Necessary to Our Continued Business and Profitability Third parties may assert infringement, misappropriation, unfair competition, product liability, breach of contract, or other claims against us. From time to time, other persons send us notices alleging that our products infringe or misappropriate their patent or other intellectual property rights. In addition, law enforcement authorities may seek criminal charges relating to intellectual property or other issues. We also face risks of claims arising from commercial and other relationships. In addition, our bylaws and other indemnity obligations provide that we will indemnify officers and members of our Board of Directors against losses that they may incur in legal proceedings resulting from their service to us. From time to time, in the normal course of business, we indemnify third parties with whom we enter into contractual relationships, including customers and suppliers, with respect to certain matters. We have agreed, under certain conditions, to hold these third parties harmless against specified losses, such as those arising from a breach of representations or covenants, other third-party claims that our products when used for their intended purposes infringe the intellectual property rights of such other third parties, or other claims made against certain parties. In such cases, it is our policy either to defend the claims or to negotiate licenses or other settlements on commercially reasonable terms. However, we may be unable in the future to negotiate necessary licenses or reach agreement on other settlements on commercially reasonable terms, or at all, and any litigation resulting from these claims by other parties may materially and adversely affect our business and financial results, and we may be subject to substantial damage awards and penalties. Moreover, although we have insurance to protect us from certain claims and cover certain losses to our property, such insurance may not cover us for the full amount of any losses, or at all, and may be subject to substantial exclusions and deductibles. If We Choose to Acquire or Dispose of Businesses, Product Lines, and Technologies, We May Encounter Unforeseen Costs and Difficulties That Could Impair Our Financial Performance An important element of our management strategy is to review acquisition prospects that would complement our existing products, augment our market coverage and distribution ability, enhance our technological capabilities, or accomplish other strategic objectives. As a result, we may seek to make acquisitions of complementary companies, products, or technologies, or we may reduce or dispose of certain product lines or technologies that no longer fit our long-term strategies. For regulatory or other reasons, we may not be successful in our attempts to acquire or dispose of businesses, products, or technologies, resulting in significant financial costs, reduced or lost opportunities, and diversion of management’s attention. Managing an acquired business, disposing of product technologies, or reducing personnel entails numerous operational and financial risks, including difficulties in assimilating acquired operations and new personnel or separating existing business or product groups, diversion of management’s attention away from other business concerns, amortization of acquired intangible assets, adverse customer reaction to our decision to cease support for a product, and potential loss of key employees or customers of acquired or disposed operations. There can be 22 no assurance that we will be able to achieve and manage successfully any such integration of potential acquisitions, disposition of product lines or technologies, or reduction in personnel, or that our management, personnel, or systems will be adequate to support continued operations. Any such inabilities or inadequacies could have a material adverse effect on our business, operating results, financial condition, and/or cash flows. In addition, any acquisition could result in changes such as potentially dilutive issuances of equity securities, the incurrence of debt and contingent liabilities, the amortization of related intangible assets, and goodwill impairment charges, any of which could materially adversely affect our business, financial condition, results of operations, cash flows, and/or the price of our Common Stock. We May Incur Impairments to Goodwill or Long-lived Assets We review our long-lived assets, including goodwill and intangible assets identified in business combinations and other intangible assets, for impairment annually or whenever events or changes in circumstances indicate that the carrying amount of these assets may not be recoverable. Negative industry or economic trends, including reduced market prices of our Common Stock, reduced estimates of future cash flows, disruptions to our business, slower growth rates, or lack of growth in our relevant business units, could lead to impairment charges against our long-lived assets, including goodwill and other intangible assets. If, in any period, our stock price decreases to the point where our fair value, as determined by our market capitalization, is less than the book value of our assets, this could also indicate a potential impairment, and we may be required to record an impairment charge in that period, which could adversely affect our result of operations. Our valuation methodology for assessing impairment requires management to make judgments and assumptions based on historical experience and to rely heavily on projections of future operating performance. We operate in a highly competitive environment and projections of future operating results and cash flows may vary significantly from actual results. Additionally, if our analysis indicates potential impairment to goodwill in one or more of our business units, we may be required to record additional charges to earnings in our financial statements, which could negatively affect our results of operations. Our Leverage and Debt Service Obligations May Adversely Affect Our Financial Condition, Results of Operations, and Earnings per Share We have $5.8 billion in aggregate principal amount of senior unsecured notes and convertible notes outstanding. Additionally, we have funding available to us under our $1.25 billion commercial paper program and our $1.25 billion revolving credit facility, which serves as a backstop to our commercial paper program. Our revolving credit facility also includes an option to increase the amount up to an additional $600.0 million, for a potential total commitment of $1.85 billion. We may, in the future, decide to enter into additional debt arrangements. In addition, we have entered, and in the future may enter, into derivative instrument arrangements to hedge against the variability of cash flows due to changes in the benchmark interest rate of fixed rate debt. We could be exposed to losses in the event of nonperformance by the counterparties to our derivative instruments. Our indebtedness could have adverse consequences, including: • • • • risk associated with the alternative reference rate reform (e.g. LIBOR transition); risk associated with any inability to satisfy our obligations; a portion of our cash flows that may have to be dedicated to interest and principal payments and may not be available for operations, working capital, capital expenditures, expansion, acquisitions, or general corporate or other purposes; and impairing our ability to obtain additional financing in the future. Our ability to meet our expenses and debt obligations will depend on our future performance, which will be affected by financial, business, economic, regulatory, and other factors. Furthermore, our operations may not generate sufficient cash flows, to enable us to meet our expenses and service our debt. As a result, we may need to enter into new financing arrangements to obtain the necessary funds. If we determine it is necessary to seek additional funding for any reason, we may not be able to obtain such funding or, if funding is available, obtain it on acceptable terms. If we fail to make a payment on our debt, we could be in default on such debt, and this default could cause us to be in default on our other outstanding indebtedness. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 23 Our Credit Agreements Contain Covenant Restrictions That May Limit Our Ability to Operate Our Business We may be unable to respond to changes in business and economic conditions, engage in transactions that might otherwise be beneficial to us, or obtain additional financing because our debt agreements contain, and any of our other future similar agreements may contain, covenant restrictions that limit our ability to, among other things: incur additional debt, assume obligations in connection with letters of credit, or issue guarantees; create liens; enter into transactions with our affiliates; sell certain assets; and • • • • • merge or consolidate with any person. Our ability to comply with these covenants is dependent on our future performance, which will be subject to many factors, some of which are beyond our control, including prevailing economic conditions. In addition, our failure to comply with these covenants could result in a default under the Senior Notes, the Convertible Notes, or our other debt, which could permit the holders to accelerate such debt. If any of our debt is accelerated, we may not have sufficient funds available to repay such debt, which could materially and negatively affect our financial condition and results of operation. There Can Be No Assurance That We Will Continue to Declare Cash Dividends or Repurchase Our Shares at All or in Any Particular Amounts Our Board of Directors has declared quarterly dividends since April 2014. Our intent to continue to pay quarterly dividends and to repurchase our shares is subject to capital availability and periodic determinations by our Board of Directors that cash dividends and share repurchases are in the best interest of our stockholders and are in compliance with all laws and agreements applicable to the declaration and payment of cash dividends or the repurchasing of shares by us. Future dividends and share repurchases may also be affected by, among other factors, our views on potential future capital requirements for investments in acquisitions and the funding of our research and development; legal risks; changes in federal, state, and international tax laws or corporate laws; contractual restrictions, such as financial or operating covenants in our debt arrangements; availability of onshore cash flow; and changes to our business model. Our dividend payments and share repurchases may change from time to time, and we cannot provide assurance that we will continue to declare dividends or repurchase shares at all or in any particular amounts. A reduction or suspension in our dividend payments or share repurchases could have a negative effect on the price of our Common Stock. Item 1B. Unresolved Staff Comments None. Item 2. Properties Our executive offices and principal operating and R&D facilities are located in Fremont and Livermore, California; Tualatin, Oregon; and Villach, Austria. The majority of the Fremont and Livermore facilities are held under operating leases expiring in December 2020 and May 2021. The Villach facilities are held under finance leases expiring in calendar year 2021. Our Fremont, Livermore, and Villach leases include options to renew or purchase the facilities. In addition, we lease or own properties for our service, technical support, and sales personnel throughout the United States, China, Europe, Japan, Korea, Southeast Asia, and Taiwan and lease or own manufacturing facilities located in Ohio and Korea. The Company owns two properties in Fremont, as well as the majority of the Tualatin facilities. Our facilities lease obligations are subject to periodic increases. We believe that our existing facilities are well-maintained and in good operating condition. Item 3. Legal Proceedings While we are not currently party to any legal proceedings that we believe are material, we are either a defendant or plaintiff in various actions that have arisen from time to time in the normal course of business, including intellectual property claims. We accrue for a liability when it is both probable that a liability has been incurred and the amount of the loss can be reasonably estimated. Significant judgment is required in both the determination of probability and the determination as to whether a loss is reasonably estimable. These accruals are reviewed at least quarterly and adjusted to reflect the effects of negotiations, settlements, rulings, advice of legal counsel, and other information and events pertaining to a particular matter. To the extent there is a reasonable possibility that the losses could exceed the amounts already accrued, based on current information, we believe that the amount of any such additional loss would be immaterial to our business, financial condition, and results of operations. Item 4. Mine Safety Disclosures Not applicable. 24 PART II Item 5. Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities Stock Information Our Common Stock is traded on the Nasdaq Global Select MarketSM under the symbol “LRCX.” As of August 13, 2020, we had 494 stockholders of record. Dividends Our Board of Directors has declared quarterly dividends since April 2014. Our intent to continue to pay quarterly dividends is subject to capital availability and periodic determinations by our Board of Directors that cash dividends are in the best interest of our stockholders and are in compliance with all laws and agreements applicable to the declaration and payment of cash dividends by us. During fiscal year 2020, our quarterly dividend was $1.15 per share. Repurchase of Company Shares In November 2018, the Board of Directors authorized management to repurchase up to an additional $5.0 billion of Common Stock on such terms and conditions as it deems appropriate, and this authorization was announced on January 23, 2019. These repurchases can be conducted on the open market or as private purchases and may include the use of derivative contracts with large financial institutions, in all cases subject to compliance with applicable law. This repurchase program has no termination date and may be suspended or discontinued at any time. Funding for this share repurchase program may be through a combination of cash on hand, cash generation, and borrowings. As of June 28, 2020, we have purchased approximately $3.2 billion of shares under this authorization, $0.7 billion via open market trading and $2.5 billion utilizing accelerated share repurchase arrangements. Accelerated Share Repurchase Agreements On November 22, 2019, we entered into two separate accelerated share repurchase agreements (collectively, the “November 2019 ASR”) with two financial institutions to repurchase a total of $1.0 billion of Common Stock. We took an initial delivery of approximately 2.9 million shares, which represented 75% of the prepayment amount divided by our closing stock price on November 22, 2019. The total number of shares received under the November 2019 ASR was based upon the average daily volume weighted average price our Common Stock during the repurchase period, less an agreed upon discount. Final settlement of the November 2019 ASR occurred during March 2020, resulting in the receipt of approximately 705 thousand additional shares, which yielded a weighted-average share price of approximately $280.27 for the transaction period. On June 4, 2019, we entered into four separate accelerated share repurchase agreements (collectively, the “June 2019 ASR”) with two financial institutions to repurchase a total of $750 million of Common Stock. We took an initial delivery of approximately 3.1 million shares, which represented 75% of the prepayment amount divided by our closing stock price on June 4, 2019. The total number of shares received under the June 2019 ASR was based upon the average daily volume weighted average price of our Common Stock during the repurchase period, less an agreed upon discount. Final settlement of the agreements occurred during November 2019, resulting in the receipt of approximately 361 thousand additional shares, which yielded a weighted-average share price of approximately $215.60 for the transaction period. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 25 Share repurchases, including those under the repurchase program, were as follows: Period Available balance as of June 30, 2019 Quarter ended September 29, 2019 Quarter ended December 29, 2019 Quarter ended March 29, 2020 March 30, 2020 - April 26, 2020 April 27, 2020 - May 24, 2020 May 25, 2020 - June 28, 2020 Total Total Number of Shares Repurchased (1) Average Price Paid per Share (2) Total Number of Shares Purchased as Part of Publicly Announced Plans or Programs Amount Available Under Repurchase Program (in thousands, except per share data) $ 3,033,500 397 $ 3,242 $ 1,576 $ 3 $ 134 $ 19 $ 5,371 $ 196.83 265.88 281.93 261.24 260.59 267.31 261.44 383 3,224 1,239 — 129 16 2,958,304 1,957,829 1,811,432 1,811,432 1,777,649 1,773,427 4,991 $ 1,773,427 (1) During the fiscal year ended June 28, 2020, we acquired 380 thousand shares at a total cost of $109.6 million which we withheld through net share settlements to cover minimum tax withholding obligations upon the vesting of restricted stock unit awards granted under our equity compensation plans. The shares retained by us through these net share settlements are not a part of the Board-authorized repurchase program but instead are authorized under our equity compensation plan. (2) Average price paid per share excludes effect of accelerated share repurchases, see additional disclosure above regarding our accelerated share repurchase activity during the fiscal year. 26 Cumulative Five-Year Return The graph below compares Lam Research Corporation’s cumulative five-year total shareholder return on Common Stock with the cumulative total returns of the Philadelphia Semiconductor Sector Total Return Index, the Nasdaq Composite Total Return index, and the Standard & Poor’s (“S&P”) 500 (TR) index. The graph tracks the performance of a $100 investment in our Common Stock and in each of the indices (with the reinvestment of all dividends) for the five years ended June 28, 2020. COMPARISON OF FIVE-YEAR CUMULATIVE TOTAL RETURN* Among Lam Research Corporation, the Philadelphia Semiconductor Sector Total Return Index, the Nasdaq Composite Total Return Index, and the S&P 500 (TR) Index. $400 $300 $200 $100 $0 June 28, 2015 June 26, 2016 June 25, 2017 June 24, 2018 June 30, 2019 June 28, 2020 Lam Research Corporation Philadelphia Semiconductor Sector Total Return Index Nasdaq Composite Total Return Index S&P 500 (TR) Index *$100 invested on June 28, 2015 in stock or June 30, 2015 in index, including reinvestment of dividends. Indexes calculated on month-end basis. Copyright © 2020 Standard & Poor’s, a division of S&P Global. All rights reserved. June 28, 2015 June 26, 2016 June 25, 2017 June 24, 2018 June 30, 2019 June 28, 2020 Lam Research Corporation $ 100.00 $ 100.88 $ 188.74 $ 220.13 $ 242.99 $ 398.42 Philadelphia Semiconductor Sector Total Return Index $ 100.00 $ 103.77 $ 157.95 $ 203.93 $ 231.07 $ 321.96 Nasdaq Composite Total Return Index $ 100.00 $ 98.32 $ 126.14 $ 155.91 $ 168.04 $ 213.32 S&P 500 (TR) Index $ 100.00 $ 103.99 $ 122.60 $ 140.23 $ 154.83 $ 166.45 Continues on next page (cid:2) Lam Research Corporation 2020 10-K 27 Item 6. Selected Financial Data OPERATIONS: Revenue Gross margin Operating income Net income Net income per share: Basic Diluted June 28, 2020 June 30, 2019 Year Ended June 24, 2018 June 25, 2017 June 26, 2016 (in thousands, except per share data) $ 10,044,736 $ 9,653,559 $ 11,076,998 $ 8,013,620 $ 5,885,893 4,608,693 4,358,459 5,165,032 3,603,359 2,618,922 2,673,802 2,464,732 3,213,299 1,902,132 1,074,256 2,251,753 2,191,430 2,380,681 1,697,763 914,049 Cash dividends declared per common share $ 4.60 $ 4.40 $ 2.55 $ $ $ 15.55 $ 15.10 $ 14.37 $ 13.70 $ 14.73 $ 13.17 $ 10.47 $ 9.24 $ 1.65 $ 5.75 5.22 1.20 BALANCE SHEET: Working capital Total assets $ 7,691,093 $ 6,188,759 $ 5,999,603 $ 6,192,383 $ 6,795,109 14,559,047 12,001,333 12,479,478 12,122,765 12,264,315 (1) Total obligations, less current portion 6,213,116 4,906,379 2,749,127 2,185,338 3,744,205 (1) Current portion of long-term debt and finance leases 839,877 667,131 610,030 908,439 947,733 (1) (1) Adjusted for effects of retrospective implementation of Accounting Standards Update 2015-3 in the first quarter of fiscal 2017. QUARTERLY FISCAL YEAR 2020: Revenue Gross margin Operating income Net income Net income per share Basic Diluted Three Months Ended (1) June 28, 2020 March 29, 2020 December 29, 2019 September 29, 2019 unaudited (in thousands, except per share data) $ 2,791,864 $ 2,503,625 $ 2,583,501 $ 2,165,746 1,280,332 1,167,007 1,179,644 755,722 696,673 694,114 574,781 686,511 514,510 981,710 537,455 465,789 $ $ 4.79 $ 4.73 $ 3.96 $ 3.88 $ 3.57 3.43 $ $ 3.22 3.09 Number of shares used in per share calculations: Basic Diluted 145,295 147,416 145,301 148,165 143,987 150,097 144,673 150,682 28 QUARTERLY FISCAL YEAR 2019: Revenue Gross margin Operating income Net income Net income per share Basic Diluted Three Months Ended (1) June 30, 2019 March 31, 2019 December 23, 2018 September 23, 2018 unaudited (in thousands, except per share data) $ 2,361,147 $ 2,439,048 $ 2,522,673 $ 2,330,691 1,080,891 1,074,337 1,145,033 1,058,198 617,085 541,825 565,517 547,390 690,379 568,855 591,751 533,360 $ $ 3.66 3.51 $ $ 3.62 $ 3.47 $ 3.67 3.51 $ $ 3.43 3.23 Number of shares used in per share calculations: Basic Diluted 148,131 154,474 151,201 157,849 155,022 162,170 155,658 165,327 (1) Our reporting period is a 52/53-week fiscal year. The fiscal years ended June 28, 2020, and June 30, 2019, included 52 and 53 weeks, respectively. All quarters presented above included 13 weeks, except for the quarter ended March 31, 2019, which includes 14 weeks. Item 7. Management’s Discussion and Analysis of Financial Condition and Results of Operations The following discussion of our financial condition and results of operations contains forward-looking statements, which are subject to risks, uncertainties, and changes in condition, significance, value, and effect. Our actual results could differ materially from those anticipated in the forward-looking statements as a result of certain factors, including but not limited to those discussed in “Risk Factors” and elsewhere in this 2020 Form 10-K and other documents we file from time to time with the Securities and Exchange Commission. (See “Cautionary Statement Regarding Forward-Looking Statements” in Part I of this 2020 Form 10-K.) Management’s Discussion and Analysis of Financial Condition and Results of Operations (“MD&A”) provides a description of our results of operations and should be read in conjunction with our Consolidated Financial Statements and accompanying Notes to Consolidated Financial Statements included in Part II, Item 8 of this 2020 Form 10-K. MD&A consists of the following sections: Executive Summary provides a summary of the key highlights of our results of operations and our management’s assessment of material trends and uncertainties relevant to our business. Results of Operations provides an analysis of operating results. Critical Accounting Policies and Estimates discusses accounting policies that reflect the more significant judgments and estimates used in the preparation of our Consolidated Financial Statements. Liquidity and Capital Resources provides an analysis of cash flows, contractual obligations, and financial position. Executive Summary Lam Research Corporation is a global supplier of innovative wafer fabrication equipment and services to the semiconductor industry. We have built a strong global presence with core competencies in areas like nanoscale applications enablement, chemistry, plasma and fluidics, advanced systems engineering and a broad range of operational disciplines. Our products and services are designed to help our customers build smaller, faster, and better performing devices that are used in a variety of electronic products, including mobile phones, personal computers, servers, wearables, automotive vehicles, and data storage devices. Our customer base includes leading semiconductor memory, foundry, and integrated device manufacturers that make products such as NVM, DRAM, and logic devices. We aim to increase our strategic relevance with our customers by contributing more to Continues on next page (cid:2) Lam Research Corporation 2020 10-K 29 their continued success. Our core technical competency is integrating hardware, process, materials, software, and process control enabling results on the wafer. Semiconductor manufacturing, our customers’ business, involves the complete fabrication of multiple dies or integrated circuits on a wafer. This involves the repetition of a set of core processes and can require hundreds of individual steps. Fabricating these devices requires highly sophisticated process technologies to integrate an increasing array of new materials with precise control at the atomic scale. Along with meeting technical requirements, wafer processing equipment must deliver high productivity and be cost-effective. Demand from the Cloud, IoT, and other markets is driving the need for increasingly powerful and cost-efficient semiconductors. At the same time, there are growing technical challenges with traditional scaling. These trends are driving significant inflections in semiconductor manufacturing, such as the increasing importance of vertical 3D scaling strategies as well as multiple patterning to enable shrinks. We believe we are in a strong position with our leadership and competency in deposition, etch, and clean to facilitate some of the most significant innovations in semiconductor device manufacturing. We have a broad portfolio of products that provide complementary processing steps used throughout semiconductor manufacturing. Our Customer Support Business Group focuses attention on delivery solutions that meet our customers’ technical requirements as well as productivity needs during the equipment lifecycle. Several factors create opportunity for sustainable differentiation for us: (i) our focus on research and development, with several on-going programs relating to sustaining engineering, product and process development, and concept and feasibility; (ii) our ability to effectively leverage cycles of learning from our broad installed base; (iii) our collaborative focus with ecosystem partners; and (iv) our focus on delivering our multi-product solutions with a goal to enhance the value of Lam’s solutions to our customers. During fiscal year 2020, customer demand remained relatively strong, however we experienced COVID-19-related production and supply chain disruptions, which impacted the timing of revenue recognition and negatively impacted our gross margin. While we are currently seeing improvements in both our own operations and those of our suppliers, risks and uncertainties related to the COVID-19 pandemic remain, which may negatively impact our revenue and gross margin. Over the longer term, while there are risks that the impact of COVID-19 to the broader macroeconomic environment may negatively impact our customer demand, we believe that secular demand for semiconductors will continue to drive sustainable growth for our products and services, and that technology inflections in our industry, including 3D device scaling, multiple patterning, process flow, and advanced packaging chip integration will lead to an increase in our served addressable market for our products and services in deposition, etch, and clean. The following table summarizes certain key financial information for the periods indicated below: Year Ended Change June 28, 2020 June 30, 2019 June 24, 2018 FY20 vs. FY19 FY19 vs. FY18 (in thousands, except per share data and percentages) Revenue Gross margin $ 10,044,736 $ 9,653,559 $11,076,998 $ 4,608,693 $ 4,358,459 $ 5,165,032 Gross margin as a percent of total revenue 45.9% 45.1% 46.6% Total operating expenses $ 1,934,891 $ 1,893,727 $ 1,951,733 Net income $ 2,251,753 $ 2,191,430 $ 2,380,681 Net income per diluted share $ 15.10 $ 13.70 $ 13.17 $ $ $ $ $ 391,177 250,234 4.1% $ (1,423,439) (12.9)% 5.7% $ (806,573) (15.6)% 0.8% 41,164 60,323 (1.5)% 2.2% $ (58,006) 2.8% $ (189,251) 1.40 10.2% $ 0.53 (3.0)% (7.9)% 4.0% Fiscal year 2020 revenue increased 4% compared to fiscal year 2019, reflecting stronger customer demand for semiconductor equipment. Gross margin as a percentage of revenue increased primarily due to customer and product mix as well as lower amortization expense related to intangibles acquired through business combinations, partially offset by lower factory and field utilization. The increase in operating expenses in fiscal year 2020 compared to fiscal year 2019 was mainly driven by higher employee-related costs as a result of increased headcount and outsourcing services, partially offset by lower travel expense, miscellaneous costs and restructuring charges. Fiscal year 2019 revenue decreased 13% compared to fiscal year 2018, reflecting lower customer demand for semiconductor equipment. Gross margin as a percentage of revenue decreased primarily due to lower factory utilization. The decrease in 30 operating expenses in fiscal year 2019 compared to fiscal year 2018 was mainly driven by lower employee-related costs and amortization related to intangibles acquired through business combinations, partially offset by restructuring charges. Our cash and cash equivalents, investments, and restricted cash and investments balances totaled approximately $7.0 billion as of June 28, 2020, compared to $5.7 billion as of June 30, 2019. Cash flow provided from operating activities was $2.1 billion for fiscal year 2020 compared to $3.2 billion for fiscal year 2019. Cash flow provided from operating activities in fiscal year 2020 was primarily used for $1.4 billion in treasury stock purchases, $657 million in dividends paid to our stockholders, and $203 million of capital expenditures. These cash outflows were partially offset by $1.3 billion of net proceeds from issuance of debt and $94 million of treasury stock reissuance and Common Stock issuance resulting from our employee equity-based compensation programs. Results of Operations Revenue Revenue (in millions) China Korea Taiwan Japan United States Southeast Asia Europe Year Ended June 28, 2020 June 30, 2019 June 24, 2018 $ 10,045 $ 9,654 $ 11,077 31% 24% 19% 9% 8% 6% 3% 22% 23% 17% 20% 8% 6% 4% 16% 35% 13% 17% 7% 7% 5% Revenue increased in fiscal year 2020 compared to fiscal year 2019, but decreased compared to fiscal year 2018, primarily as a result of the variability of semiconductor capital investments by our customers. The overall Asia region continued to account for a majority of our revenues as a substantial amount of the worldwide capacity investments for semiconductor manufacturing continued to occur in this region. The deferred revenue balance was $537 million as of June 28, 2020 compared to $449 million as of June 30, 2019, driven primarily by additional deferrals towards the future servicing of our existing installed base and COVID-19-related production disruptions. The percentage of leading- and non-leading-edge equipment and upgrade revenue to each of the markets we serve was as follows: Memory Foundry Logic/integrated device manufacturing Gross Margin Year Ended June 28, 2020 June 30, 2019 58% 31% 11% 70% 20% 10% Year Ended Change June 28, 2020 June 30, 2019 June 24, 2018 FY20 vs. FY19 FY19 vs. FY18 (in thousands, except percentages) Gross margin Percent of revenue $ 4,608,693 $ 4,358,459 $5,165,032 $ 250,234 5.7% $ (806,573) (15.6)% 45.9% 45.1% 46.6% 0.8% (1.5)% Continues on next page (cid:2) Lam Research Corporation 2020 10-K 31 The increase in gross margin as a percentage of revenue for fiscal year 2020 compared to fiscal year 2019 was primarily due to customer and product mix as well as lower amortization expense related to intangibles acquired through business combinations, partially offset by lower factory and field utilization. The decrease in gross margin as a percentage of revenue for fiscal year 2019 compared to fiscal year 2018 was primarily due to lower factory utilization. Research and Development Year Ended Change June 28, 2020 June 30, 2019 June 24, 2018 FY20 vs. FY19 FY19 vs. FY18 (in thousands, except percentages) Research & development $ 1,252,412 $ 1,191,320 $1,189,514 $ 61,092 5.1% $ 1,806 0.2% Percent of revenue 12.5% 12.3% 10.7% 0.2% 1.6% We continued to make significant R&D investments focused on leading-edge deposition, etch, clean, and other semiconductor manufacturing processes. The increase in R&D expense during fiscal year 2020 compared to fiscal year 2019 was mainly driven by an increase of $50 million in employee-related costs due to increased headcount, $19 million in outsourcing service costs, and $10 million in spending for supplies, partially offset by a decrease of $7 million in travel expense and $5 million in restructuring charges. R&D expense during fiscal year 2019 increased slightly compared to fiscal year 2018. Selling, General, and Administrative Year Ended Change June 28, 2020 June 30, 2019 June 24, 2018 FY20 vs. FY19 FY19 vs. FY18 (in thousands, except percentages) Selling, general, and administrative (“SG&A”) $ 682,479 $ 702,407 $ 762,219 $ (19,928) (2.8)% $ (59,812) (7.8)% Percent of revenue 6.8% 7.3% 6.9% (0.5)% 0.4% The decrease in SG&A expense during fiscal year 2020 compared to fiscal year 2019 was primarily due to a $17 million decrease in spending for customer-related sales costs, a $9 million decrease in spending for supplies, a $9 million decrease in restructuring charges, and a $6 million decrease in spending for travel and entertainment, partially offset by an increase of $25 million in spending for rent, repair and utilities. The decrease in SG&A expense during fiscal year 2019 compared to fiscal year 2018 was primarily due to a $65 million decrease in employee variable compensation and a $17 million decrease in amortization related to intangibles acquired through business combinations, partially offset by an increase of $10 million in depreciation and $8 million in restructuring charges. 32 Other Expense, Net Other expense, net, consisted of the following: Year Ended Change June 28, 2020 June 30, 2019 June 24, 2018 FY20 vs. FY19 FY19 vs. FY18 (in thousands, except percentages) Interest income Interest expense $ 85,433 $ 98,771 $ 85,813 $ (13,338) (13.5)% $ 12,958 15.1% (177,440) (117,263) (97,387) $ (60,177) 51.3% $ (19,876) 20.4% Gains on deferred compensation plan related assets, net Loss on impairment of investments Foreign exchange (losses) gains, net Other, net 5,999 — (3,317) (9,499) 10,464 14,692 $ (4,465) (42.7)% $ (4,228) (28.8)% — (42,456) $ — —% $ 42,456 100.0% 826 (3,382) $ (4,143) (501.6)% $ 4,208 (124.4)% (10,959) (18,790) $ 1,460 (13.3)% $ 7,831 (41.7)% $ (98,824) $ (18,161) $ (61,510) $ (80,663) 444.2% $ 43,349 (70.5)% Interest income decreased in fiscal year 2020 compared to fiscal year 2019 as a result of lower yield, offset by a higher cash balance. Interest income increased in fiscal year 2019 compared to fiscal year 2018 as a result of higher yield, offset by a lower cash balance. Interest expense increased in fiscal year 2020 compared to fiscal year 2019 primarily due to the full-year impact of the issuance of the $2.5 billion of senior notes that occurred in fiscal year 2019 and the issuance of $2.0 billion senior notes in fiscal year 2020. The increase in interest expense in fiscal year 2019 compared to fiscal year 2018 was also primarily due to the issuance of the $2.5 billion of senior notes in fiscal year 2019. The gains on deferred compensation plan related assets in the periods presented were driven by an improvement in the fair market value of the underlying funds. The loss on impairment of investments during fiscal year 2018 is the result of a decision to sell selected investments held in foreign jurisdictions in connection with our cash repatriation strategy following the December 2017 U.S. tax reform. Income Tax Expense Our provision for income taxes and effective tax rate for the periods indicated were as follows: Year Ended Change June 28, 2020 June 30, 2019 June 24, 2018 FY20 vs. FY19 FY19 vs. FY18 (in thousands, except percentages) Income tax expense $ 323,225 $ 255,141 $ 771,108 $ 68,084 26.7% $ (515,967) (66.9)% Effective tax rate 12.6% 10.4% 24.5% 2.2% (14.1)% The increase in the effective tax rate in fiscal year 2020 as compared to fiscal year 2019 was primarily due to a cumulative income tax benefit reversal due to a court ruling in fiscal year 2020, as outlined below. The decrease in the effective tax rate in fiscal year 2019 as compared to fiscal year 2018 was primarily due to the impact of U.S. tax reform and its mandated one-time transition tax on accumulated unrepatriated foreign earnings in fiscal year 2018. In November 2019, the U.S. Court of Appeals for the Ninth Circuit (“Ninth Circuit”) rejected the en banc appeal petitioned by Altera Corporation (“Altera”) in July 2019. In that quarter, we evaluated the impact of the decision and viewed the denial as an indication that Altera’s position of excluding stock-based compensation expense in an inter-company cost-sharing arrangement was unlikely to be sustained upon further litigation. As a result, we reversed $74.5 million of net tax assets associated with stock-based compensation benefits related to previous years in the Condensed Consolidated Financial Statements in the three months ended December 29, 2019 and we no longer reflected a net tax benefit within our financial statements related to excluding stock-based compensation from our inter-company cost-sharing arrangement. In February 2020, Altera petitioned the Supreme Court of the United States (“SCOTUS”) to hear their case. In June 2020, the SCOTUS denied the petition. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 33 International revenues account for a significant portion of our total revenues, such that a material portion of our pre-tax income is earned and taxed outside the United States. International pre-tax income is taxable in the United States at a lower effective tax rate than the federal statutory tax rate. Please refer to Note 7 of our Consolidated Financial Statements in Part II, Item 8 of this 2020 Form 10-K. Deferred Income Taxes Deferred income taxes reflect the net tax effect of temporary differences between the carrying amounts of assets and liabilities for financial reporting purposes and the amounts used for income tax purposes, as well as the tax effect of carryforwards. Our gross deferred tax assets were $575 million and $471 million at the end of fiscal years 2020 and 2019, respectively. These gross deferred tax assets were offset by gross deferred tax liabilities of $196 million and $160 million at the end of fiscal years 2020 and 2019, respectively, and a valuation allowance of $245 million and $227 million at the end of fiscal years 2020 and 2019, respectively. The change in the gross deferred tax assets, gross deferred tax liabilities, and valuation allowance between fiscal year 2020 and 2019 is primarily due to increases in outside basis differences of foreign subsidiaries, tax credits, and operating lease liabilities and right-of-use assets, and decreases in prepaid cost sharing. As of our fiscal year ended June 28, 2020, we continue to record a valuation allowance to offset the entire California deferred tax asset balance due to the single sales factor apportionment resulting in lower taxable income in California. The valuation allowances were $245 million and $227 million at the end of fiscal years 2020 and 2019, respectively. We evaluate if the deferred tax assets are realizable on a quarterly basis and will continue to assess the need for changes in valuation allowances, if any. Uncertain Tax Positions We re-evaluate uncertain tax positions on a quarterly basis. This evaluation is based on factors including, but not limited to, changes in facts or circumstances, changes in tax law, effectively settled issues under audit, and new audit activity. Any change in recognition or measurement would result in the recognition of a tax benefit or an additional charge to the tax provision. Critical Accounting Policies and Estimates A critical accounting policy is defined as one that has both a material impact on our financial condition and results of operations and requires us to make difficult, complex and/or subjective judgments, often as a result of the need to make estimates about matters that are inherently uncertain. The preparation of financial statements in conformity with U.S. generally accepted accounting principles (“GAAP”) requires management to make certain judgments, estimates and assumptions that could affect the reported amounts of assets and liabilities at the date of the financial statements and the reported amounts of revenue and expenses during the reporting period. We base our estimates and assumptions on historical experience and on various other assumptions we believe to be applicable and evaluate them on an ongoing basis to ensure they remain reasonable under current conditions. Actual results could differ significantly from those estimates, which could have a material impact on our business, results of operations, and financial condition. Our critical accounting estimates include: • • • • • the recognition and valuation of revenue from arrangements with multiple performance obligations which impacts revenue; the valuation of inventory, which impacts gross margin; the valuation of warranty reserves, which impacts gross margin; the recognition and measurement of current and deferred income taxes, including the measurement of uncertain tax positions, which impact our provision for income tax expenses; and the valuation and recoverability of long-lived assets, which impacts gross margin and operating expenses when we record asset impairments or accelerate their depreciation or amortization. We believe that the following critical accounting policies reflect the more significant judgments and estimates used in the preparation of our consolidated financial statements regarding the critical accounting estimates indicated above. See Note 2, “Summary of Significant Accounting Policies,” of our Consolidated Financial Statements in Part II, Item 8 of this 2020 Form 10-K for additional information regarding our accounting policies. Revenue Recognition: On June 25, 2018, we adopted Financial Accounting Standards Board (“FASB”) Accounting Standards Update (“ASU”) No. 2014-09 (“ASC 606”)—Revenue From Contracts with Customers which provides guidance for revenue recognition that superseded the revenue recognition requirements in ASC 605, Revenue Recognition and most industry specific guidance. 34 We recognize revenue when promised goods or services are transferred to customers in an amount that reflects the consideration to which we expect to be entitled in exchange for those goods or services by following a five-step process, (1) identify the contract with a customer, (2) identify the performance obligations in the contract, (3) determine the transaction price, (4) allocate the transaction price to the performance obligations in the contract, and (5) recognize revenue when or as we satisfy a performance obligation, as further described below. Identify the contract with a customer. We generally consider documentation of terms with an approved purchase order as a customer contract, provided that collection is considered probable, which is assessed based on the creditworthiness of the customer as determined by credit checks, payment histories, and/or other circumstances. Identify the performance obligations in the contract. Performance obligations include sales of systems, spare parts, and services. In addition, our customer contracts contain provisions for installation and training services which have been deemed immaterial in the context of the contract. Determine the transaction price. The transaction price for our contracts with customers consists of both fixed and variable consideration provided it is probable that a significant reversal of revenue will not occur when the uncertainty related to variable consideration is resolved. Fixed consideration includes amounts to be contractually billed to the customer while variable consideration includes estimates for discounts and credits for future usage which are based on contractual terms outlined in volume purchase agreements and other factors known at the time. We generally invoice customers at shipment and for professional services either as provided or upon meeting certain milestones. Customer invoices are generally due within 30 to 90 days after issuance. Our contracts with customers typically do not include significant financing components as the period between the transfer of performance obligations and timing of payment are generally within one year. Allocate the transaction price to the performance obligations in the contract. For contracts that contain multiple performance obligations, we allocate the transaction price to the performance obligations in the contract on a relative standalone selling price basis. Standalone selling prices are based on multiple factors including, but not limited to historical discounting trends for products and services and pricing practices in different geographies. Recognize revenue when or as we satisfy a performance obligation. Revenue for systems and spares are recognized at a point in time, which is generally upon shipment or delivery. Revenue from services is recognized over time as services are completed or ratably over the contractual period of generally one year or less. Inventory Valuation: Our policy is to assess the valuation of all inventories including manufacturing raw materials, work-in-process, finished goods, and spare parts in each reporting period. Obsolete inventory or inventory in excess of management’s estimated usage requirement is written down to its estimated net realizable value if less than cost. Estimates of market value include but are not limited to management’s forecasts related to our future manufacturing schedules, customer demand, technological and/or market obsolescence, general semiconductor market conditions, and possible alternative uses. If future customer demand or market conditions are less favorable than our projections, additional inventory write-downs may be required and would be reflected in cost of goods sold in the period in which we make the revision. Warranty: We record a provision for estimated warranty expenses to cost of sales for each system when we recognize revenue. We periodically monitor the performance and cost of warranty activities, if actual costs incurred are different than our estimates, we may recognize adjustments to provisions in the period in which those differences arise or are identified. We do not maintain general or unspecified reserves; all warranty reserves are related to specific systems. Income Taxes: Deferred income taxes reflect the net tax effect of temporary differences between the carrying amount of assets and liabilities for financial reporting purposes and the amounts used for income tax purposes, as well as the tax effect of carryforwards. We record a valuation allowance to reduce our deferred tax assets to the amount that is more likely than not to be realized. Realization of our net deferred tax assets is dependent on future taxable income. We believe it is more likely than not that such assets will be realized; however, ultimate realization could be negatively impacted by market conditions and other variables not known or anticipated at this time. In the event that we determine that we will not be able to realize all or part of our net deferred tax assets, an adjustment will be charged to earnings in the period such determination is made. Likewise, if we later determine that it is more likely than not that the deferred tax assets will be realized, then the previously provided valuation allowance will be reversed. We recognize the benefit from a tax position only if it is more likely than not that the position will be sustained upon audit based solely on the technical merits of the tax position. Our policy is to include interest and penalties related to uncertain tax positions as a component of income tax expense. Long-lived Assets: We review goodwill at least annually for impairment. If certain events or indicators of impairment occur between annual impairment tests, we will perform an impairment test at that date. In testing for a potential impairment of goodwill, we: Continues on next page (cid:2) Lam Research Corporation 2020 10-K 35 (1) allocate goodwill to the reporting units to which the acquired goodwill relates; (2) estimate the fair value of our reporting units; and (3) determine the carrying value (book value) of those reporting units. Prior to this allocation of the assets to the reporting units, we assess long-lived assets for impairment. Furthermore, if the estimated fair value of a reporting unit is less than the carrying value, we must estimate the fair value of all identifiable assets and liabilities of that reporting unit, in a manner similar to a purchase price allocation for an acquired business. This can require independent valuations of certain internally generated and unrecognized intangible assets such as in-process R&D and developed technology. Only after this process is completed can the amount of goodwill impairment, if any, be determined. In our goodwill impairment process we first assess qualitative factors to determine whether it is necessary to perform a quantitative analysis. We do not calculate the fair value of a reporting unit unless we determine, based on a qualitative assessment, that it is more likely than not that the reporting unit’s fair value is less than its carrying amount. The process of evaluating the potential impairment of goodwill is subjective and requires significant judgment at many points during the analysis. We determine the fair value of our reporting units by using an income approach. Under the income approach, we determine fair value based on estimated future cash flows of each reporting unit, discounted by an estimated weighted-average cost of capital, which reflects the overall level of inherent risk of a reporting unit and the rate of return an outside investor would expect to earn. In estimating the fair value of a reporting unit, we make estimates and judgments about the future cash flows of our reporting units, including estimated growth rates and assumptions about the economic environment. Although our cash flow forecasts are based on assumptions that are consistent with the plans and estimates we are using to manage the underlying businesses, there is significant judgment involved in determining the cash flows attributable to a reporting unit. In addition, we make certain judgments about allocating shared assets to the estimated balance sheets of our reporting units. Changes in judgment on these assumptions and estimates could result in a goodwill impairment charge. As a result, several factors could result in an impairment of a material amount of our goodwill balance in future periods, including but not limited to: (1) weakening of the global economy, weakness in the semiconductor equipment industry, or our failure to reach internal forecasts, which could impact our ability to achieve our forecasted levels of cash flows and reduce the estimated discounted cash flow value of our reporting units; and (2) a decline in our Common Stock price and resulting market capitalization, to the extent we determine that the decline is sustained and indicates a reduction in the fair value of our reporting units below their carrying value. Further, the value assigned to intangible assets, other than goodwill, is based on estimates and judgments regarding expectations such as the success and lifecycle of products and technology acquired. If actual product acceptance differs significantly from the estimates, we may be required to record an impairment charge to write down the asset to its realizable value. For other long-lived assets, we routinely consider whether indicators of impairment are present. If such indicators are present, we determine whether the sum of the estimated undiscounted cash flows attributable to the assets is less than their carrying value. If the sum is less, we recognize an impairment loss based on the excess of the carrying amount of the assets over their respective fair values. Fair value is determined by discounted future cash flows, appraisals or other methods. We recognize an impairment charge to the extent the present value of anticipated net cash flows attributable to the asset are less than the asset’s carrying value. The fair value of the asset then becomes the asset’s new carrying value, which we depreciate over the remaining estimated useful life of the asset. Assets to be disposed of are reported at the lower of the carrying amount or fair value. In addition, for fully amortized intangible assets, we derecognize the gross cost and accumulated amortization in the period we determine the intangible asset no longer enhances future cash flows. Recent Accounting Pronouncements For a description of recent accounting pronouncements, including the expected dates of adoption and estimated effects, if any, on our consolidated financial statements, see Note 3, “Recent Accounting Pronouncements,” of our Consolidated Financial Statements, included in Part II, Item 8 of this 2020 Form 10-K. Liquidity and Capital Resources Total gross cash, cash equivalents, investments, and restricted cash and investments balances were $7.0 billion at the end of fiscal year 2020 compared to $5.7 billion at the end of fiscal year 2019. This increase was primarily due to cash provided by operating activities and the issuance of $2.0 billion of senior notes, partially offset by Common Stock repurchases in connection with our stock repurchase program and dividends paid. 36 Cash Flow from Operating Activities Net cash provided by operating activities of $2.1 billion during fiscal year 2020 consisted of (in thousands): Net income Non-cash charges: Depreciation and amortization Equity-based compensation expense Deferred income taxes Amortization of note discounts and issuance costs Changes in operating asset and liability accounts Other $ 2,251,753 268,525 189,197 (17,777) 5,940 (571,875) 688 $ 2,126,451 Significant changes in operating asset and liability accounts, net of foreign exchange impact, included the following uses of cash: increases in accounts receivable of $642 million, inventories of $412 million, and prepaid assets of $14 million; partially offset by sources of cash: increases in accrued expenses and other liabilities of $211 million, accounts payable of $208 million, and deferred profit of $76 million. Cash Flow from Investing Activities Net cash used by investing activities during fiscal year 2020 was $244 million, primarily consisting of capital expenditures of $203 million. Cash Flow from Financing Activities Net cash used by financing activities during fiscal year 2020 was $624 million, primarily consisting of $1.4 billion in Common Stock repurchases, $657 million of dividends paid, partially offset by $1.3 billion of net proceeds from issuance of debt, and $94 million of stock issuance and treasury stock reissuances associated with our employee stock-based compensation plans. Liquidity Given that the semiconductor industry is highly competitive and has historically experienced rapid changes in demand, we believe that maintaining sufficient liquidity reserves is important to support sustaining levels of investment in R&D and capital infrastructure. Anticipated cash flows from operations based on our current business outlook, combined with our current levels of cash, cash equivalents, and short-term investments as of June 28, 2020, are expected to be sufficient to support our anticipated levels of operations, investments, debt service requirements, capital expenditures, capital redistributions, and dividends through at least the next twelve months. However, uncertainty in the global economy and the semiconductor industry, as well as disruptions in credit markets, have in the past, and could in the future, impact customer demand for our products, as well as our ability to manage normal commercial relationships with our customers, suppliers, and creditors. In the longer term, liquidity will depend to a great extent on our future revenues and our ability to appropriately manage our costs based on demand for our products and services. While we have substantial cash balances, we may require additional funding and need or choose to raise the required funds through borrowings or public or private sales of debt or equity securities. We believe that, if necessary, we will be able to access the capital markets on terms and in amounts adequate to meet our objectives. However, given the possibility of changes in market conditions or other occurrences, there can be no assurance that such funding will be available in needed quantities or on terms favorable to us. Off-Balance Sheet Arrangements and Contractual Obligations We have certain obligations to make future payments under various contracts, some of which are recorded on our balance sheet and some of which are not. Obligations that are recorded on our balance sheet in accordance with GAAP include our long-term debt, operating leases and finance leases which are outlined in the following table. Our off-balance sheet arrangements are presented as purchase obligations in the table. Our contractual obligations and commitments as of June 28, 2020, relating to these agreements and our guarantees are included in the following table based on their contractual maturity date. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 37 The amounts in the table below exclude $439 million of liabilities related to uncertain tax positions as we are unable to reasonably estimate the ultimate amount or time of settlement. See Note 7 of our Consolidated Financial Statements in Part II, Item 8 of this 2020 Form 10-K for further discussion. The amounts in the table below also exclude $12 million associated with funding commitments related to non-marketable equity investments as we are unable to make a reasonable estimate regarding the timing of capital calls. Operating leases Financing leases Purchase obligations Total Less than 1 Year 1-3 Years 3-5 Years More than 5 Years (in thousands) $ 186,935 $ 50,611 $ 53,624 $ 33,040 $ 49,660 16,421 4,170 8,250 1,697 707,945 541,524 99,040 66,423 2,304 958 Long-term debt and interest expense (1) 8,966,111 997,407 351,881 351,881 7,264,942 One-time transition tax on accumulated unrepatriated foreign earnings (2) Other long-term liabilities (3) Total 729,422 208,670 69,469 138,938 303,926 7,177 27,108 7,781 217,089 166,604 $10,815,504 $1,670,358 $678,841 $764,748 $7,701,557 (1) The conversion period for the 2.625% Convertible Senior Notes due May 2041 (the “2041 Notes”) was open as of June 28, 2020, and as such the net carrying value of the 2041 Notes is included within current liabilities on our Consolidated Balance Sheet. The principal balances of the 2041 Notes are reflected in the payment period in the table above based on the contractual maturity assuming no conversion. See Note 14 of our Consolidated Financial Statements in Part II, Item 8 of this 2020 Form 10-K for additional information concerning the 2041 Notes and associated conversion features. (2) We may choose to apply existing tax credits, thereby reducing the actual cash payment. (3) Certain tax-related liabilities and post-retirement benefits classified as other non-current liabilities on the Consolidated Balance Sheet are included in the “More than 5 Years” category due to the uncertainty in the timing and amount of future payments. Additionally, the balance excludes contractual obligations recorded in our Consolidated Balance Sheet as current liabilities and the long-term portion of operating leases. Operating Leases We lease most of our administrative, R&D, and manufacturing facilities; regional sales/service offices; and certain equipment under non-cancelable operating leases. Certain of our facility leases for buildings located in Fremont and Livermore, California; Tualatin, Oregon; and certain other facility leases provide us with an option to extend the leases for additional periods or to purchase the facilities. Certain of our facility leases provide for periodic rent increases based on the general rate of inflation. In addition to amounts included in the table above, we have guaranteed residual values for certain of our Fremont and Livermore facility leases of up to $250 million. See Note 15 to our Consolidated Financial Statements in Part II, Item 8 of this 2020 Form 10-K for further discussion. Financing Leases Financing leases reflect building and office equipment lease obligations. The amounts in the table above include the interest portion of payment obligations. Purchase Obligations Purchase obligations consist of significant contractual obligations either on an annual basis or over multi-year periods related to our outsourcing activities or other material commitments, including vendor-consigned inventories. The contractual cash obligations and commitments table presented above contains our minimum obligations at June 28, 2020, under these arrangements and others. For obligations with cancellation provisions, the amounts included in the preceding table were limited to the non-cancelable portion of the agreement terms or the minimum cancellation fee. Actual expenditures will vary based on the volume of transactions and length of contractual service provided. Income Taxes During the December 2017 quarter, a one-time transition tax on accumulated unrepatriated foreign earnings, estimated at $991 million, was recognized associated with the December 2017 U.S. tax reform. In accordance with SAB 118, we finalized the amount of the transition tax during the period ended December 23, 2018. The final amount was $868.4 million. We elected to pay 38 the one-time transition tax over a period of eight years with 8% of the transition tax to be paid each September 15 for years 2018 through 2022, and 15%, 20%, and 25%, respectively, to be paid each September 15 for years 2023 through 2025. Long-Term Debt On May 5, 2020, we completed a public offering of $750 million aggregate principal amount of the Company’s Senior Notes due June 15, 2030 (the “2030 Notes”), $750 million aggregate principal amount of the Company’s Senior Notes due June 15, 2050 (the “2050 Notes”), and $500 million aggregate principal amount of the Company’s Senior Notes due June 15, 2060 (the “2060 Notes”). We will pay interest at an annual rate of 1.90%, 2.875%, and 3.125%, on the 2030, 2050, and 2060 Notes, respectively, on a semi- annual basis on June 15 and December 15 of each year beginning December 15, 2020. On March 4, 2019, we completed a public offering of $750 million aggregate principal amount of the Company’s Senior Notes due March 15, 2026 (the “2026 Notes”), $1 billion aggregate principal amount of the Company’s Senior Notes due March 15, 2029 (the “2029 Notes”), and $750 million aggregate principal amount of the Company’s Senior Notes due March 15, 2049 (the “2049 Notes”). We pay interest at an annual rate of 3.75%, 4.00%, and 4.875%, respectively on the 2026, 2029 and 2049 Notes, on a semi-annual basis on March 15 and September 15 of each year. On June 7, 2016, we completed a public offering of $800.0 million aggregate principal amount of Senior Notes due June 15, 2021, (the “2021 Notes”). We pay interest at an annual rate of 2.80% on the 2021 Notes, on a semi-annual basis on June 15 and December 15 of each year. On March 12, 2015, we completed a public offering of $500 million aggregate principal amount of Senior Notes due March 15, 2020 (the “2020 Notes”) and $500 million aggregate principal amount of Senior Notes due March 15, 2025 (the “2025 Notes”). We pay interest at an annual rate of 3.80% on the 2025 Notes, on a semi-annual basis on March 15 and September 15 of each year. During the year ended June 28, 2020, $500 million principal value of 2020 Notes were settled upon maturity. We may redeem the 2021, 2025, 2026, 2029, 2030, 2049, 2050, and 2060 Notes (collectively the “Senior Notes”) at a redemption price equal to 100% of the principal amount of such series (“par”), plus a “make whole” premium as described in the indenture in respect to the Senior Notes and accrued and unpaid interest before May 15, 2021 for the 2021 Notes, before December 15, 2024 for the 2025 Notes, before January 15, 2026 for the 2026 Notes, before December 15, 2028 for the 2029 Notes, before March 15, 2030 for the 2030 Notes, before September 15, 2048 for the 2049 Notes, before December 15, 2049 for the 2050 Notes, and before December 15, 2059 for the 2060 Notes. We may redeem the Senior Notes at par, plus accrued and unpaid interest at any time on or after May 15, 2021 for the 2021 Notes, on or after December 24, 2024 for the 2025 Notes, on or after January 15, 2026 for the 2026 Notes, on or after December 15, 2028 for the 2029 Notes, on or after March 15, 2030 for the 2030 Notes, on or after September 15, 2048 for the 2049 Notes, on or after December 15, 2049 for the 2050 Notes, and on or after December 15, 2059 for the 2060 Notes. In addition, upon the occurrence of certain events, as described in the indenture, we will be required to make an offer to repurchase the Senior Notes at a price equal to 101% of the principal amount of the respective note, plus accrued and unpaid interest. In June 2012, with the acquisition of Novellus, we assumed $700 million in aggregate principal amount of 2.625% Convertible Senior Notes due May 2041. We pay cash interest on the 2041 Notes at an annual rate of 2.625%, on a semi-annual basis. The 2041 Notes may be converted, under certain circumstances, into our Common Stock. We may redeem the 2041 Notes on or after May 21, 2021 at a price equal to outstanding principal plus accrued and unpaid interest if the last reported sales price of common shares has been equal to or more than 150% of the then applicable conversion price for at least 20 trading days during the 30 consecutive trading days prior to the redemption notice date. During the quarter-ended June 28, 2020, the market value of our Common Stock was greater than or equal to 130% of the 2041 Notes conversion prices for 20 or more trading days of the 30 consecutive trading days preceding the quarter end. As a result, the 2041 Notes are convertible at the option of the holder and are classified as current liabilities in our Consolidated Balance Sheets for fiscal year 2020. As of June 28, 2020, $48.5 million of the 2041 notes remain outstanding, as a result of cumulative conversion activity. During fiscal year 2020, 2019, and 2018, we made $668 million, $117 million, and $753 million, respectively, in principal payments on long-term debt and finance/capital leases. Revolving Credit Arrangements On March 12, 2014, the Company established an unsecured Credit Agreement. This agreement was amended on November 10, 2015 (the “Amended and Restated Credit Agreement”), October 13, 2017 (the “2nd Amendment”), and February 25, 2019 (the “3rd Amendment”). Under the Amended and Restated Credit Agreement (as amended by the 2nd and 3rd Amendment), the Company Continues on next page (cid:2) Lam Research Corporation 2020 10-K 39 has a revolving credit facility of $1.25 billion with a syndicate of lenders with an expansion option that will allow the Company, subject to certain requirements, to request an increase in the facility of up to an additional $600 million, for a potential total commitment of $1.85 billion. The facility matures on October 13, 2022. Interest on amounts borrowed under the credit facility is, at our option, based on (1) a base rate, defined as the greatest of (a) prime rate, (b) Federal Funds rate plus 0.5%, or (c) one-month London Interbank Offered Rate (“LIBOR”) plus 1.0%, plus a spread of 0.0% to 0.5%, or (2) LIBOR multiplied by the statutory reserve rate, plus a spread of 0.9% to 1.5%, in each case as the applicable spread is determined based on the rating of our non-credit enhanced, senior unsecured long-term debt. Principal and any accrued and unpaid interest is due and payable upon maturity. Additionally, we will pay the lenders a quarterly commitment fee that varies based on our credit rating. The Amended Credit Agreement contains affirmative covenants, negative covenants, financial covenants, and events of default. As of June 28, 2020, we had no borrowings outstanding under the Amended Credit Agreement and were in compliance with all financial covenants. LIBOR is currently expected to be discontinued by the end of calendar year 2021. We expect to amend the Amended and Restated Credit Agreement prior to that occurrence to provide for an alternative reference interest rate plus an appropriate spread that approximates the existing reference interest rate as calculated in accordance with LIBOR. Despite the current expectations, we cannot be sure when LIBOR is discontinued, that we will be able to reach an agreement with the administrative agent under the Amended and Restated Credit Agreement on an alternate reference interest rate plus an appropriate spread, or that changes to the determination of interest under our agreements would approximate the current calculation in accordance with LIBOR. If we should fail to reach agreement with the administrative agent on an alternate reference interest rate prior to such time as LIBOR is unavailable as a reference rate, then the borrowings will bear interest at a base rate determined in accordance with the Amended and Restated Credit Agreement tied to either the prime rate or federal funds rate, plus a spread. Commercial Paper Program On November 13, 2017, we established a commercial paper program (the “CP Program”) under which we may issue unsecured commercial paper notes on a private placement basis up to a maximum aggregate amount outstanding at any time of $1.25 billion. Individual maturities may vary but cannot not exceed 397 days from the date of issue. The net proceeds from the CP Program will be used for general corporate purposes, including repurchases of our Common Stock from time to time under our stock repurchase program. If at any time, funds are not available under favorable terms under the CP Program, we may utilize the Amended Credit Agreement for funding. Amounts available under the CP Program may be re-borrowed. The CP Program is backstopped by our Revolving Credit Arrangement. As of June 28, 2020, we had no outstanding borrowings under the CP Program. Other Guarantees We have issued certain indemnifications to our lessors for taxes and general liability under some of our agreements. We have entered into certain insurance contracts that may limit our exposure to such indemnifications. As of June 28, 2020, we had not recorded any liability on our Consolidated Financial Statements in connection with these indemnifications, as we do not believe, based on information available, that it is probable that we will pay any material amounts under these guarantees. Generally, we indemnify, under pre-determined conditions and limitations, our customers for infringement of third-party intellectual property rights by our products or services. We seek to limit our liability for such indemnity to an amount not to exceed the sales price of the products or services subject to our indemnification obligations. We do not believe, based on information available, that it is probable that we will pay any material amounts under these guarantees. We provide guarantees and standby letters of credit to certain parties as required for certain transactions initiated during the ordinary course of business. As of June 28, 2020, the maximum potential amount of future payments that we could be required to make under these arrangements and letters of credit was $59 million. We do not believe, based on historical experience and information currently available, that it is probable that any material amounts will be required to be paid. We have entered into indemnification agreements with our officers and directors, consistent with our Bylaws and Certificate of Incorporation; and under local law, we may be required to provide indemnification to our employees for actions within the scope of their employment. Although we maintain insurance contracts that cover some of the potential liability associated with these indemnification agreements, there is no guarantee that all such liabilities will be covered. We do not believe, based on historical experience and information currently available, that it is probable that any material amounts will be required to be paid under such indemnification agreements or statutory obligations. 40 Item 7A. Quantitative and Qualitative Disclosures About Market Risk Investments We maintain an investment portfolio of various holdings, types, and maturities. As of June 28, 2020, our mutual funds are classified as trading securities. Investments classified as trading securities are recorded at fair value based upon quoted market prices. Any material differences between the cost and fair value of trading securities is recognized as “Other income (expense)” in our Consolidated Statement of Operations. All of our other investments are classified as available-for-sale and consequently are recorded in the Consolidated Balance Sheets at fair value with unrealized gains or losses reported as a separate component of accumulated other comprehensive income, net of tax. Interest Rate Risk Fixed-Income Securities Our investments in various interest-earning securities carry a degree of market risk for changes in interest rates. At any time, a sharp rise in interest rates could have a material adverse impact on the fair value of our fixed-income investment portfolio. Conversely, declines in interest rates could have a material adverse impact on interest income for our investment portfolio. We target a capital preservation-focused investment policy, which focuses on the safety and preservation of our capital by limiting default risk, market risk, reinvestment risk, and concentration risk. The following table presents the hypothetical fair values of fixed- income securities that would result from selected potential decreases and increases in interest rates. Market changes reflect immediate hypothetical parallel shifts in the yield curve of plus or minus 50 basis points (“BPS”), 100 BPS, and 150 BPS with a minimum interest rate of zero BPS. The hypothetical fair values as of June 28, 2020, were as follows: Valuation of Securities Given an Interest Rate Decrease of X Basis Points Fair Value as of June 28, 2020 Valuation of Securities Given an Interest Rate Increase of X Basis Points (150 BPS) (100 BPS) (50 BPS) —% 50 BPS 100 BPS 150 BPS (in thousands) U.S. Treasury and agencies $ 552,656 $ 552,656 $ 552,656 $ 552,452 $ 551,801 $ 551,149 $ 550,498 Government-sponsored enterprises Foreign government bonds 31,497 10,735 31,497 10,735 31,497 10,734 31,454 10,716 31,374 10,694 31,295 10,673 31,215 10,651 Corporate notes and bonds 1,414,694 1,414,583 1,413,851 1,410,657 1,407,049 1,403,439 1,399,824 Mortgage backed securities - residential Mortgage backed securities - commercial 3,323 23,890 3,286 23,889 3,249 23,868 3,213 23,804 3,176 23,740 3,139 23,676 3,102 23,613 Total $ 2,036,795 $ 2,036,646 $ 2,035,855 $ 2,032,296 $ 2,027,834 $ 2,023,371 $ 2,018,903 We mitigate default risk by investing in high credit quality securities and by positioning our portfolio to respond appropriately to a significant reduction in a credit rating of any investment issuer or guarantor. The portfolio includes only marketable securities with active secondary or resale markets to achieve portfolio liquidity and maintain a prudent amount of diversification. Long-Term Debt As of June 28, 2020, we had $5.8 billion in principal amount of fixed-rate long-term debt outstanding, with a fair value of $7.0 billion. The fair value of our Notes is subject to interest rate risk, market risk, and other factors due to the convertible feature, as applicable. Generally, the fair value of Notes will increase as interest rates fall and decrease as interest rates rise. Additionally, the fair value of the 2041 Notes will increase as our Common Stock price increases and decrease as our Common Stock price decreases. The interest and market value changes affect the fair value of our Notes but do not impact our financial position, cash flows, or results of operations due to the fixed nature of the debt obligations. We do not carry the Notes at fair value but present the fair value of the principal amount of our Notes for disclosure purposes. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 41 Equity Price Risk Publicly Traded Securities The values of our investments in publicly traded securities, including mutual funds related to our obligations under our deferred compensation plans, are subject to market price risk. The following table presents the hypothetical fair values of our publicly traded securities that would result from potential decreases and increases in the price of each security in the portfolio. Potential fluctuations in the price of each security in the portfolio of plus or minus 10%, 15%, or 25% were selected based on potential near- term changes in those security prices. The hypothetical fair values as of June 28, 2020, were as follows: Valuation of Securities Given an X% Decrease in Stock Price Fair Value as of June 28, 2020 Valuation of Securities Given an X% Increase in Stock Price (25)% (15)% (10)% —% 10% 15% 25% (in thousands) Mutual funds $ 54,320 $ 61,563 $ 65,184 $ 72,427 $ 79,670 $ 83,291 $ 90,534 Foreign Currency Exchange (“FX”) Risk We conduct business on a global basis in several major international currencies. As such, we are potentially exposed to adverse as well as beneficial movements in foreign currency exchange rates. The majority of our revenues and expenses are denominated in U.S. dollars. However, we are exposed to foreign currency exchange rate fluctuations primarily related to revenues denominated in Japanese yen and euro-denominated and Korean won-denominated expenses. We enter into foreign currency forward contracts to minimize the short-term impact of exchange rate fluctuations on certain foreign currency denominated monetary assets and liabilities, primarily cash, third-party accounts receivable, accounts payable, and intercompany receivables and payables. In addition, we hedge certain anticipated foreign currency cash flows, primarily on revenues denominated in Japanese yen and expenses denominated in euro and Korean won. To protect against adverse movements in value of anticipated revenues denominated in Japanese yen and expenses denominated in euro and Korean won, we enter into foreign currency forward and option contracts that generally expire within 12 months and no later than 24 months. The option contracts include collars, an option strategy that is comprised of a combination of a purchased put option and a written call option with the same expiration dates and Japanese yen notional amounts but with different strike prices. These foreign currency hedge contracts are designated as cash flow hedges and are carried on our balance sheet at fair value, with the effective portion of the contracts’ gains or losses included in accumulated other comprehensive income (loss) and subsequently recognized in earnings in the same period the hedged revenue and/or expense is recognized. We also enter into foreign currency forward contracts to hedge the gains and losses generated by the remeasurement of certain non-U.S.-dollar denominated monetary assets and liabilities, primarily cash, third-party accounts receivable, accounts payable, and intercompany receivables and payables. The change in fair value of these balance sheet hedge contracts is recorded into earnings as a component of other income (expense), net, and offsets the change in fair value of the foreign currency denominated monetary assets and liabilities also recorded in other income (expense), net, assuming the hedge contract fully covers the hedged items. The notional amount and unrealized gain of our outstanding forward and option contracts that are designated as cash flow hedges, as of June 28, 2020, are shown in the table below. This table also shows the change in fair value of these cash flow hedges assuming a hypothetical foreign currency exchange rate movement of plus or minus 10 percent and plus or minus 15 percent. Unrealized FX Gain/(Loss) June 28, 2020 Notional Amount Valuation of FX Contracts Given an X% Increase (+)/Decrease(-) in Each = +/-(10%) = +/-(15%) (in millions) Japanese yen $ 299.5 $ Euro Korean won 54.5 20.5 0.1 0.8 (0.4) $ 29.9 $ 6.1 2.0 $ 0.5 $ 38.0 $ 44.8 9.7 3.0 57.5 Forward contracts Sell Buy Buy 42 The notional amount and unrealized loss of our outstanding foreign currency forward contracts that are designated as balance sheet hedges, as of June 28, 2020, are shown in the table below. This table also shows the change in fair value of these balance sheet hedges, assuming a hypothetical foreign currency exchange rate movement of plus or minus 10 percent and plus or minus 15 percent. These changes in fair values would be offset in other income (expense), net, by corresponding change in fair values of the foreign currency denominated monetary assets and liabilities, assuming the hedge contract fully covers the intercompany and trade receivable balances. Unrealized FX Gain/(Loss) June 28, 2020 Notional Amount Valuation of FX Contracts Given an X% Increase (+)/Decrease(-) in Each = +/-(10%) = +/-(15%) (in millions) Forward contracts, balance sheet hedge Sell Buy Buy Buy Buy Buy Buy Buy Buy Buy Korean won $ 50.7 $ Taiwan dollar Euro Chinese renminbi Japanese yen Swiss francs British pound Singapore dollar Indian rupee Malaysian ringgit 47.6 36.1 35.1 22.5 12.7 11.2 10.1 7.8 5.6 0.1 $ (0.1) — — — — — — — — $ 5.1 4.7 10.6 3.5 2.2 1.3 0.7 1.0 0.8 0.6 7.6 7.0 12.0 5.3 3.4 1.9 1.1 1.5 1.2 0.8 $ — $ 30.5 $ 41.8 Continues on next page (cid:2) Lam Research Corporation 2020 10-K 43 Item 8. Financial Statements and Supplementary Data Index to Consolidated Financial Statements Consolidated Statements of Operations — Years Ended June 28, 2020, June 30, 2019, and June 24, 2018 Consolidated Statements of Comprehensive Income — Years Ended June 28, 2020, June 30, 2019, and June 24, 2018 Consolidated Balance Sheets — June 28, 2020, and June 30, 2019 Consolidated Statements of Cash Flows — Years Ended June 28, 2020, June 30, 2019, and June 24, 2018 Consolidated Statements of Stockholders’ Equity — Years Ended June 28, 2020, June 30, 2019, and June 24, 2018 Notes to Consolidated Financial Statements Reports of Independent Registered Public Accounting Firm Page 45 46 47 48 50 51 85 44 LAM RESEARCH CORPORATION CONSOLIDATED STATEMENTS OF OPERATIONS (in thousands, except per share data) Revenue Cost of goods sold Gross margin Research and development Selling, general, and administrative Total operating expenses Operating income Other expense, net Income before income taxes Income tax expense Net income Net income per share: Basic Diluted Number of shares used in per share calculations: Basic Diluted June 28, 2020 Year Ended June 30, 2019 June 24, 2018 $ 10,044,736 $ 9,653,559 $ 11,076,998 5,436,043 5,295,100 4,608,693 4,358,459 1,252,412 1,191,320 682,479 702,407 1,934,891 1,893,727 2,673,802 2,464,732 5,911,966 5,165,032 1,189,514 762,219 1,951,733 3,213,299 (98,824) (18,161) (61,510) 2,574,978 2,446,571 3,151,789 (323,225) (255,141) (771,108) 2,251,753 $ 2,191,430 15.55 $ 15.10 $ 14.37 13.70 144,814 152,478 149,090 159,915 $ $ $ 2,380,681 14.73 13.17 161,643 180,782 $ $ $ See Notes to Consolidated Financial Statements Continues on next page (cid:2) Lam Research Corporation 2020 10-K 45 LAM RESEARCH CORPORATION CONSOLIDATED STATEMENTS OF COMPREHENSIVE INCOME (in thousands) Net income Other comprehensive income (loss), net of tax: Foreign currency translation adjustment Cash flow hedges: Net unrealized (losses) gains during the period Net losses (gains) reclassified into earnings Available-for-sale investments: Net unrealized gains (losses) during the period Net losses (gains) reclassified into earnings Defined benefit plans, net change in unrealized component Other comprehensive (loss) income, net of tax Year Ended June 28, 2020 June 30, 2019 June 24, 2018 $ 2,251,753 $ 2,191,430 $ 2,380,681 (6,441) (6,648) 9,649 (30,603) 2,137 (28,466) 1,842 935 2,777 1,949 (30,181) 2,461 (2,749) (288) 3,535 (199) 3,336 (2,981) (6,581) (6,960) 3,729 (3,231) (45,382) 43,086 (2,296) 129 4,251 Comprehensive income $ 2,221,572 $ 2,184,849 $ 2,384,932 See Notes to Consolidated Financial Statements 46 LAM RESEARCH CORPORATION CONSOLIDATED BALANCE SHEETS (in thousands, except per share data) ASSETS: Cash and cash equivalents Investments Accounts receivable, less allowance for doubtful accounts of $5,465 as of June 28, 2020 and $5,021 as of June 30, 2019 Inventories Prepaid expenses and other current assets Total current assets Property and equipment, net Restricted cash and investments Goodwill Intangible assets, net Other assets Total assets LIABILITIES AND STOCKHOLDERS’ EQUITY: Trade accounts payable Accrued expenses and other current liabilities Deferred profit Current portion of convertible notes and finance leases Total current liabilities Senior notes, convertible notes, and finance leases, less current portion Income taxes payable Other long-term liabilities Total liabilities Commitments and contingencies Temporary equity, convertible notes Stockholders’ equity: June 28, 2020 June 30, 2019 $ 4,915,172 $ 3,658,219 1,795,080 1,772,984 2,097,099 1,455,522 1,900,024 1,540,140 146,160 133,544 10,853,535 8,560,409 1,071,499 1,059,077 253,911 255,177 1,484,436 1,484,597 168,532 727,134 216,950 425,123 $ 14,559,047 $ 12,001,333 $ 592,387 $ 376,561 1,272,655 457,523 839,877 946,641 381,317 667,131 3,162,442 2,371,650 4,970,848 3,822,768 909,709 332,559 892,790 190,821 9,375,558 7,278,029 10,995 49,439 Preferred stock, at par value of $0.001 per share; authorized—5,000 shares, none outstanding — — Common stock, at par value of $0.001 per share; authorized 400,000 shares as of June 28, 2020 and June 30, 2019; issued and outstanding 145,331 shares as of June 28, 2020, and 144,433 shares as of June 30, 2019 Additional paid-in capital Treasury stock, at cost, 145,432 shares as of June 28, 2020, and 140,573 shares as of June 30, 2019 Accumulated other comprehensive loss Retained earnings Total stockholders’ equity Total liabilities and stockholders’ equity 145 144 6,695,858 6,409,405 (12,949,889) (11,602,573) (94,211) (64,030) 11,520,591 9,930,919 5,172,494 4,673,865 $ 14,559,047 $ 12,001,333 See Notes to Consolidated Financial Statements Continues on next page (cid:2) Lam Research Corporation 2020 10-K 47 LAM RESEARCH CORPORATION CONSOLIDATED STATEMENTS OF CASH FLOWS (in thousands) CASH FLOWS FROM OPERATING ACTIVITIES: Net income $ 2,251,753 $ 2,191,430 $ 2,380,681 Adjustments to reconcile net income to net cash provided by operating activities: June 28, 2020 Year Ended June 30, 2019 June 24, 2018 Depreciation and amortization Deferred income taxes Equity-based compensation expense Impairment of investments Amortization of note discounts and issuance costs Other, net Changes in operating asset and liability accounts: Accounts receivable, net of allowance Inventories Prepaid expenses and other assets Trade accounts payable Deferred profit Accrued expenses and other liabilities 268,525 (17,777) 189,197 — 5,940 688 (641,827) (411,608) (14,354) 208,478 76,207 211,229 309,281 (4,980) 187,234 — 7,343 (5,819) 732,138 281,355 (17,864) (131,472) (178,074) (194,559) 326,395 3,046 172,216 42,456 14,428 33,718 (501,628) (701,008) (14,391) 35,655 112,413 751,766 Net cash provided by operating activities 2,126,451 3,176,013 2,655,747 CASH FLOWS FROM INVESTING ACTIVITIES: Capital expenditures and intangible assets Business acquisition, net of cash acquired Purchases of available-for-sale securities Proceeds from maturities of available-for-sale securities Proceeds from sales of available-for-sale securities Other, net (203,239) (303,491) — — (273,469) (115,697) (2,897,627) (2,930,049) (2,532,829) 1,647,379 1,235,248 466,539 650,255 1,137,302 5,035,460 (25,845) (7,355) (15,184) Net cash (used for) provided by investing activities (244,084) (1,637,054) 2,748,536 48 June 28, 2020 Year Ended June 30, 2019 June 24, 2018 CASH FLOWS FROM FINANCING ACTIVITIES: Net proceeds from issuance of long-term debt $ 1,974,651 $ 2,476,720 $ — Principal payments on long-term debt and capital lease obligations and payments for debt issuance costs Net (repayment) proceeds from commercial paper Proceeds from borrowings on revolving credit facility Repayment of borrowings on revolving credit facility Treasury stock purchases Dividends paid Reissuances of treasury stock related to employee stock purchase plan Proceeds from issuance of common stock Other, net (667,537) — 1,250,000 (1,250,000) (117,653) (361,754) — — (755,694) 359,604 750,000 (750,000) (1,369,649) (3,780,611) (2,653,249) (656,838) (678,348) (307,609) 85,439 8,084 1,920 77,961 6,813 (13,208) 75,624 9,258 9 Net cash used for financing activities (623,930) (2,390,080) (3,272,057) Effect of exchange rate changes on cash, cash equivalents and restricted cash (2,750) (4,041) 2,593 Net increase (decrease) in cash, cash equivalents and restricted cash 1,255,687 (855,162) 2,134,819 Cash, cash equivalents and restricted cash at beginning of year 3,913,396 4,768,558 2,633,739 Cash, cash equivalents and restricted cash at end of year $ 5,169,083 $ 3,913,396 $ 4,768,558 Schedule of non-cash transactions Accrued payables for stock repurchases Accrued payables for capital expenditures Dividends payable Transfers of finished goods inventory to property and equipment, net Supplemental disclosures: Cash payments for interest Cash payments for income taxes, net Reconciliation of cash, cash equivalents, and restricted cash Cash and cash equivalents Restricted cash and investments Total cash, cash equivalents, and restricted cash $ 82 $ 29 $ 116 37,812 167,129 51,694 23,185 158,868 54,533 24,001 174,372 57,886 $ 171,889 $ 76,933 $ 84,401 222,909 300,268 142,800 June 28, 2020 June 30, 2019 June 24, 2018 $ $ 4,915,172 $ 3,658,219 $ 4,512,257 253,911 255,177 256,301 5,169,083 $ 3,913,396 $ 4,768,558 See Notes to Consolidated Financial Statements Continues on next page (cid:2) Lam Research Corporation 2020 10-K 49 LAM RESEARCH CORPORATION CONSOLIDATED STATEMENTS OF STOCKHOLDERS’ EQUITY (in thousands, except per common share data) Balance at June 25, 2017 Sale of common stock Purchase of treasury stock Reissuance of treasury stock Equity-based compensation expense Effect of conversion of convertible notes Effect of bond hedge, cash in lieu of shares Reclassification from temporary to permanent equity Adoption of ASU 2016-09 Net income Other comprehensive income Cash dividends declared ($2.55 per common share) Balance at June 24, 2018 Sale of common stock Purchase of treasury stock Reissuance of treasury stock Equity-based compensation expense Effect of conversion of convertible notes Exercise of warrants Reclassification from temporary to permanent equity Adoption of ASU 2014-09 Adoption of ASU 2016-16 Adoption of ASU 2018-02 Net income Other comprehensive loss Cash dividends declared ($4.40 per common share) Balance at June 30, 2019 Sale of common stock Purchase of treasury stock Reissuance of treasury stock Equity-based compensation expense Effect of conversion of convertible notes Reclassification from temporary to permanent equity Adoption of ASU 2016-02 Net income Other comprehensive loss Cash dividends declared ($4.60 per common share) Common Stock Shares Common Stock Additional Paid-in Capital Treasury Stock Accumulated Other Comprehensive Income(Loss) Retained Earnings Total 161,723 $ 162 $5,845,485 $ (5,216,187) $ (61,700) $ 6,249,691 $ 6,817,451 1,934 (14,786) 677 — 10,199 (2,855) — — — — — 2 (15) 1 — 10 (3) — — — — — 9,256 — — (2,653,350) 52,562 23,061 172,216 (26,776) 13 91,669 — — — — — — — — — — — — — — — — — — — — 9,258 — (2,653,365) — — — — 40,065 75,624 172,216 (26,766) 10 91,669 40,065 2,380,681 2,380,681 4,251 — 4,251 — (409,243) (409,243) 156,892 157 6,144,425 (7,846,476) (57,449) 8,261,194 6,501,851 1,090 (21,059) 1 (21) 6,812 — — (3,780,503) 622 — 2,783 4,105 — — — — — — — — — 3 4 — — — — — — — 53,555 24,406 187,234 (11,361) (12) 28,752 — — — — — — — — — — — — — — — — — — — — — — — — — (2,227) — 6,813 — (3,780,524) — — — — — 77,961 187,234 (11,358) (8) 28,752 139,355 139,355 (443) 2,227 (443) — — 2,191,430 2,191,430 (4,354) — (4,354) — (662,844) (662,844) 144,433 144 6,409,405 (11,602,573) (64,030) 9,930,919 4,673,865 1,288 $ 1 $ 8,083 $ — $ — $ — $ 8,084 (5,371) (5) — (1,369,697) 513 — 4,468 — — — — — 1 — 4 — — — — — 63,057 22,381 189,197 (12,328) 38,444 — — — — — — — — — — — — — — — — — — — (1,369,702) — — — — 3,018 85,439 189,197 (12,324) 38,444 3,018 2,251,753 2,251,753 (30,181) — (30,181) — (665,099) (665,099) Balance at June 28, 2020 145,331 $ 145 $6,695,858 $(12,949,889) $ (94,211) $11,520,591 $ 5,172,494 See Notes to Consolidated Financial Statements 50 NOTES TO CONSOLIDATED FINANCIAL STATEMENTS June 28, 2020 Note 1: Company and Industry Information The Company designs, manufactures, markets, refurbishes, and services semiconductor processing equipment used in the fabrication of integrated circuits. Semiconductor manufacturing, our customers’ business, involves the complete fabrication of multiple dies or integrated circuits on a wafer. This involves the repetition of a set of core processes and can require hundreds of individual steps. Fabricating these devices requires highly sophisticated process technologies to integrate an increasing array of new materials with precise control at the atomic scale. Along with meeting technical requirements, wafer processing equipment must deliver high productivity and be cost-effective. The Company sells its products and services primarily to companies involved in the production of semiconductors in the United States, China, Europe, Japan, Korea, Southeast Asia, and Taiwan. The semiconductor industry is cyclical in nature and has historically experienced periodic downturns and upturns. Today’s leading indicators of changes in customer investment patterns, such as electronics demand, memory pricing, and foundry utilization rates, may not be any more reliable than in prior years. Demand for the Company’s equipment can vary significantly from period to period as a result of various factors including, but not limited to economic conditions; supply, demand, and prices for semiconductors; customer capacity requirements; and the Company’s ability to develop and market competitive products. For these and other reasons, the Company’s results of operations for fiscal years 2020, 2019, and 2018 may not necessarily be indicative of future operating results. Note 2: Summary of Significant Accounting Policies The preparation of financial statements in conformity with GAAP requires management to make judgments, estimates, and assumptions that could affect the reported amounts of assets and liabilities at the date of the financial statements and the reported amounts of revenue and expenses during the reporting period. The Company bases its estimates and assumptions on historical experience and on various other assumptions it believes to be applicable and evaluates them on an ongoing basis to ensure they remain reasonable under current conditions. Actual results could differ significantly from those estimates. Revenue Recognition: On June 25, 2018, the Company adopted FASB Accounting Standards Update (“ASU”) No. 2014-09 (ASC 606)—Revenue From Contracts with Customers which provides guidance for revenue recognition that superseded the revenue recognition requirements in ASC 605, Revenue Recognition and most industry specific guidance. The Company recognizes revenue when promised goods or services are transferred to customers in an amount that reflects the consideration to which the Company expects to be entitled in exchange for those goods or services by following a five-step process, (1) identify the contract with a customer, (2) identify the performance obligations in the contract, (3) determine the transaction price, (4) allocate the transaction price to the performance obligations in the contract, and (5) recognize revenue when or as the Company satisfies a performance obligation, as further described below. Identify the contract with a customer. The Company generally considers documentation of terms with an approved purchase order as a customer contract provided that collection is considered probable, which is assessed based on the creditworthiness of the customer as determined by credit checks, payment histories, and/or other circumstances. Identify the performance obligations in the contract. Performance obligations include sales of systems, spare parts, and services. In addition, customer contracts contain provisions for installation and training services which have been deemed immaterial in the context of the contract. Determine the transaction price. The transaction price for the Company’s contracts with its customers consists of both fixed and variable consideration provided it is probable that a significant reversal of revenue will not occur when the uncertainty related to variable consideration is resolved. Fixed consideration includes amounts to be contractually billed to the customer while variable consideration includes estimates for discounts and credits for future usage which are based on contractual terms outlined in volume purchase agreements and other factors known at the time. The Company generally invoices customers at shipment and for professional services either as provided or upon meeting certain milestones. Customer invoices are generally due within 30 to 90 days after issuance. The Company’s contracts with customers typically do not include significant financing components as the period between the transfer of performance obligations and timing of payment are generally within one year. Allocate the transaction price to the performance obligations in the contract. For contracts that contain multiple performance obligations, the Company allocates the transaction price to the performance obligations on a relative standalone selling price basis. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 51 Standalone selling prices are based on multiple factors including, but not limited to historical discounting trends for products and services and pricing practices in different geographies. Recognize revenue when or as the Company satisfies a performance obligation. Revenue for systems and spares are recognized at a point in time, which is generally upon shipment or delivery. Revenue from services is recognized over time as services are completed or ratably over the contractual period of generally one year or less. Inventory Valuation: Inventories are stated at the lower of cost or net realizable value using standard costs that approximate actual costs on a first-in, first-out basis. Finished goods are reported as inventories until the point of title transfer to the customer. Unless specified in the terms of sale, title generally transfers at the physical transfer of the products to the freight carriers. Transfer of title for shipments to Japanese customers occurs at the time of customer acceptance. Management evaluates the need to record adjustments for impairment of inventory at least quarterly. The Company’s policy is to assess the valuation of all inventories including manufacturing raw materials, work-in-process, finished goods, and spare parts in each reporting period. Obsolete inventory or inventory in excess of management’s estimated usage requirement is written down to its estimated market value if less than cost. Estimates of market value include but are not limited to management’s forecasts related to the Company’s future manufacturing schedules, customer demand, technological and/or market obsolescence, general semiconductor market conditions, and possible alternative uses. If future customer demand or market conditions are less favorable than the Company’s projections, additional inventory write-downs may be required and would be reflected in cost of goods sold in the period in which the revision is made. Warranty: Typically, the sale of semiconductor capital equipment includes providing parts and service warranties to customers as part of the overall price of the system. The Company provides standard warranties for its systems. The Company records a provision for estimated warranty expenses to cost of sales for each system when it recognizes revenue. The Company does not maintain general or unspecified reserves; all warranty reserves are related to specific systems. All actual or estimated parts and labor costs incurred in subsequent periods are charged to those established reserves on a system-by-system basis. While the Company periodically monitors the performance and cost of warranty activities, if actual costs incurred are different than its estimates, the Company may recognize adjustments to provisions in the period in which those differences arise or are identified. In addition to the provision of standard warranties, the Company offers customer-paid extended warranty services. Revenues for extended maintenance and warranty services with a fixed payment amount are recognized on a straight-line basis over the term of the contract. Related costs are recorded as incurred. Equity-based Compensation — Employee Stock Plans: The Company recognizes the fair value of equity-based compensation expense. The Company determines the fair value of its RSUs, excluding market-based performance RSUs, based upon the fair market value of Company’s Common Stock at the date of grant, discounted for dividends. The Company estimates the fair value of its market-based performance RSUs using a Monte Carlo simulation model at the date of the grant. The Company estimates the fair value of its stock options using a Black-Scholes option valuation model. This model requires the input of highly subjective assumptions, including expected stock price volatility and the estimated life of each award. The Company amortizes the fair value of equity-based awards over the vesting periods of the award, and the Company has elected to use the straight-line method of amortization. Income Taxes: Deferred income taxes reflect the net tax effect of temporary differences between the carrying amount of assets and liabilities for financial reporting purposes and the amounts used for income tax purposes, as well as the tax effect of carryforwards. The Company records a valuation allowance to reduce its deferred tax assets to the amount that is more likely than not to be realized. Realization of its net deferred tax assets is dependent on future taxable income. The Company believes it is more likely than not that such assets will be realized; however, ultimate realization could be negatively impacted by market conditions and other variables not known or anticipated at this time. In the event that the Company determines that it will not be able to realize all or part of its net deferred tax assets, an adjustment will be charged to earnings in the period such determination is made. Likewise, if the Company later determines that it is more likely than not that the deferred tax assets will be realized, then the previously provided valuation allowance will be reversed. The Company recognizes the benefit from a tax position only if it is more likely than not that the position will be sustained upon audit based solely on the technical merits of the tax position. The Company’s policy is to include interest and penalties related to uncertain tax positions as a component of income tax expense. Goodwill and Intangible Assets: The valuation of intangible assets acquired in a business combination requires the use of management estimates including but not limited to estimating future expected cash flows from assets acquired and determining 52 discount rates. Management’s estimates of fair value are based upon assumptions believed to be reasonable, but which are inherently uncertain and unpredictable, and as a result, actual results may differ from estimates. Estimates associated with the accounting for acquisitions may change as additional information becomes available. Goodwill represents the amount by which the purchase price in each business combination exceeds the fair value of the net tangible and identifiable intangible assets acquired. Each component of the Company for which discrete financial information is available and for which management regularly reviews the results of operations is considered a reporting unit. All goodwill acquired in a business combination is assigned to one or more reporting units as of the acquisition date. Goodwill is assigned to the Company’s reporting units that are expected to benefit from the synergies of the combination. The goodwill assigned to a reporting unit is the difference between the acquisition consideration assigned to the reporting unit on a relative fair value basis and the fair value of acquired assets and liabilities that can be specifically attributed to the reporting unit. The Company tests goodwill and identifiable intangible assets with indefinite useful lives for impairment at least annually. The Company amortizes intangible assets with estimable useful lives over their respective estimated useful lives, and the Company reviews for impairment whenever events or changes in circumstances indicate that the carrying amount of the intangible asset may not be recoverable and the carrying amount exceeds its fair value. The Company reviews goodwill at least annually for impairment. If certain events or indicators of impairment occur between annual impairment tests, the Company would perform an impairment test at that date. In testing for a potential impairment of goodwill, the Company (1) allocates goodwill to its reporting units to which the acquired goodwill relates, (2) estimates the fair value of its reporting units, and (3) determines the carrying value (book value) of those reporting units. Furthermore, if the estimated fair value of a reporting unit is less than the carrying value, the Company must estimate the fair value of all identifiable assets and liabilities of that reporting unit, in a manner similar to a purchase price allocation for an acquired business. This can require independent valuations of certain internally generated and unrecognized intangible assets such as in-process R&D and developed technology. Only after this process is completed can the amount of goodwill impairment, if any, be determined. In the Company’s goodwill impairment process, it first assesses qualitative factors to determine whether it is necessary to perform a quantitative analysis. The Company does not calculate the fair value of a reporting unit unless the Company determines, based on a qualitative assessment, that it is more-likely-than-not that its fair value is less than its carrying amount. The Company performs an annual goodwill impairment analysis as of the first day of its fourth fiscal quarter. The Company did not record impairments of goodwill during the years ended June 28, 2020, June 30, 2019, or June 24, 2018. The process of evaluating the potential impairment of goodwill is subjective and requires significant judgment at many points during the analysis. The Company determines the fair value of its reporting units by using an income approach. Under the income approach, the Company determines fair value based on estimated future cash flows of each reporting unit, discounted by an estimated weighted-average cost of capital, which reflects the overall level of inherent risk of a reporting unit and the rate of return an outside investor would expect to earn. In estimating the fair value of a reporting unit, the Company makes estimates and judgments about the future cash flows of its reporting units, including estimated growth rates and assumptions about the economic environment. Although the Company’s cash flow forecasts are based on assumptions that are consistent with the plans and estimates it is using to manage the underlying businesses, there is significant judgment involved in determining the cash flows attributable to a reporting unit. In addition, the Company makes certain judgments about allocating shared assets to the estimated balance sheets of its reporting units. Changes in judgment on these assumptions and estimates could result in a goodwill impairment charge. As a result, several factors could result in impairment of a material amount of the Company’s goodwill balance in future periods, including but not limited to: (1) weakening of the global economy, weakness in the semiconductor equipment industry, or failure of the Company to reach its internal forecasts, which could impact the Company’s ability to achieve its forecasted levels of cash flows and reduce the estimated discounted cash flow value of its reporting units and (2) a decline in the Company’s stock price and resulting market capitalization and to the extent the Company determines that the decline is sustained and indicates a reduction in the fair value of the Company’s reporting units below their carrying value. Further, the value assigned to intangible assets, other than goodwill, is based on estimates and judgments regarding expectations such as the success and lifecycle of products and technology acquired. If actual product acceptance differs significantly from the estimates, the Company may be required to record an impairment charge to write down the asset to its realizable value. Impairment of Long-lived Assets (Excluding Goodwill): The Company routinely considers whether indicators of impairment of long- lived assets are present. If such indicators are present, the Company determines whether the sum of the estimated undiscounted cash flows attributable to the assets is less than their carrying value. If the sum is less, the Company recognizes an impairment loss based on the excess of the carrying amount of the assets over their respective fair values. Fair value is determined by discounted future cash flows, appraisals, or other methods. The Company recognizes an impairment charge to the extent the Continues on next page (cid:2) Lam Research Corporation 2020 10-K 53 present value of anticipated net cash flows attributable to the asset are less than the asset’s carrying value. The fair value of the asset then becomes the asset’s new carrying value, which the Company depreciates over the remaining estimated useful life of the asset. Assets to be disposed of are reported at the lower of the carrying amount or fair value. For the periods presented, there was no impairment of long-lived assets. In addition, for fully amortized intangible assets, we derecognize the gross cost and accumulated amortization in the period we determine the intangible asset no longer enhances future cash flows. Fiscal Year: The Company follows a 52/53-week fiscal reporting calendar, and its fiscal year ends on the last Sunday of June each year. The Company’s most recent fiscal years ended June 28, 2020 and June 24, 2018, each included 52 weeks, and the fiscal year ended June 30, 2019 included 53 weeks. Principles of Consolidation: The Consolidated Financial Statements include the accounts of the Company and its wholly owned subsidiaries. All intercompany accounts and transactions have been eliminated in consolidation. Cash Equivalents and Investments: Investments purchased with an original maturity of three months or less are considered cash equivalents. The Company also invests in certain mutual funds, which include equity and fixed- income securities, related to its obligations under its deferred compensation plan, and such investments are classified as trading securities on the consolidated balance sheets. All of the Company’s other investments are classified as available-for-sale at the respective balance sheet dates. The Company accounts for its investment portfolio at fair value. Investments classified as trading securities are recorded at fair value based upon quoted market prices. Differences between the cost and fair value of trading securities are recognized as “Other income (expense)” in the Consolidated Statement of Operations. The investments classified as available-for-sale are recorded at fair value based upon quoted market prices, and difference between the cost and fair value of available-for-sale securities is presented as a component of accumulated other comprehensive income (loss). Unrealized losses on available-for-sale securities are charged against other income (expense) when a decline in fair value is determined to be other than temporary. The Company considers several factors to determine whether a loss is other than temporary. These factors include but are not limited to (1) the extent to which the fair value is less than cost basis, (2) the financial condition and near-term prospects of the issuer, (3) the length of time a security is in an unrealized loss position, and (4) the Company’s ability to hold the security for a period of time sufficient to allow for any anticipated recovery in fair value. The Company’s ongoing consideration of these factors could result in additional impairment charges in the future, which could adversely affect its results of operation. An other-than-temporary impairment is triggered when there is an intent to sell the security, it is more-likely-than-not that the security will be required to be sold before recovery, or the security is not expected to recover the entire amortized cost basis of the security. Other-than-temporary impairments attributed to credit losses are recognized in the income statement. The specific identification method is used to determine the realized gains and losses on investments. The Company recorded a $42.5 million other-than-temporary impairment charge during the year ended June 24, 2018. No other-than-temporary impairment charges were recognized during the years ended June 28, 2020 or June 30, 2019. Allowance for Doubtful Accounts: The Company evaluates its allowance for doubtful accounts based on a combination of factors. In circumstances where specific invoices are deemed uncollectible, the Company provides a specific allowance for bad debt against the amount due to reduce the net recognized receivable to the amount it reasonably believes will be collected. The Company also provides allowances based on its write-off history. Bad debt expense was not material for fiscal years ended June 28, 2020, June 30, 2019, and June 24, 2018. Property and Equipment: Property and equipment is stated at cost. Equipment is depreciated by the straight-line method over the estimated useful lives of the assets, generally three to five years. Furniture and fixtures are depreciated by the straight-line method over the estimated useful lives of the assets, generally five years. Software is amortized by the straight-line method over the estimated useful lives of the assets, generally three to five years. Buildings are depreciated by the straight-line method over the estimated useful lives of the assets, generally twenty-five years. Leasehold improvements are generally amortized by the straight- line method over the shorter of the life of the related asset or the term of the underlying lease. Amortization of finance leases is included with depreciation expense. Derivative Financial Instruments: In the normal course of business, the Company’s financial position is routinely subjected to market risk associated with foreign currency exchange rate fluctuations. The Company’s policy is to mitigate the effect of these exchange rate fluctuations on certain foreign currency denominated business exposures. The Company has a policy that allows the use of derivative financial instruments to hedge foreign currency exchange rate fluctuations on forecasted revenue and expenses and net monetary assets or liabilities denominated in various foreign currencies. The Company carries derivative financial instruments (derivatives) on the balance sheet at their fair values. The Company does not use derivatives for trading or speculative purposes. The Company does not believe that it is exposed to more than a nominal amount of credit risk in its interest rate and foreign currency hedges, as counterparties are large, global and well-capitalized financial institutions. The Company’s exposures are in liquid currencies (Japanese yen, euros, Korean won, Taiwanese dollars, Chinese renminbi, Swiss franc, British pound 54 sterling, Singapore dollars, Indian rupee, and Malaysian ringgit), so there is minimal risk that appropriate derivatives to maintain the Company’s hedging program would not be available in the future. To hedge foreign currency risks, the Company uses foreign currency exchange forward and option contracts, where possible and prudent. These hedge contracts are valued using standard valuation formulas with assumptions about future foreign currency exchange rates derived from existing exchange rates, interest rates, and other market factors. The Company considers its most current forecast in determining the level of foreign currency denominated revenue and expenses to hedge as cash flow hedges. The Company combines these forecasts with historical trends to establish the portion of its expected volume to be hedged. The revenue and expenses are hedged and designated as cash flow hedges to protect the Company from exposures to fluctuations in foreign currency exchange rates. If the underlying forecasted transaction does not occur, or it becomes probable that it will not occur, the related hedge gains and losses on the cash flow hedge are reclassified from accumulated other comprehensive income (loss) to other income (expense), net on the Consolidated Statement of Operations at that time. Leases: Lease expense for operating leases is recognized on a straight-line basis over the lease term. The Company includes renewals and terminations in the calculation of the right-of-use asset and liability when the provision is reasonably certain to be exercised. The Company uses its incremental borrowing rate based on the information available at commencement date in determining the present value of future lease payments when the rate implicit in the lease is unknown. The Company has elected the following practical expedients and accounting policy elections for accounting under ASC 842: (i) leases with an initial lease term of 12 months or less are not recorded on the balance sheet; and (ii) lease and non-lease components of a contract are accounted for as a single lease component. Guarantees: The Company has certain operating leases that contain provisions whereby the properties subject to the operating leases may be remarketed at lease expiration. The Company has guaranteed to the lessor an amount approximating the lessor’s investment in the property. Also, the Company’s guarantees generally include certain indemnifications to its lessors under operating lease agreements for environmental matters, potential overdraft protection obligations to financial institutions related to one of the Company’s subsidiaries, indemnifications to the Company’s customers for certain infringement of third-party intellectual property rights by its products and services, indemnifications for its officers and directors, and the Company’s warranty obligations under sales of its products. Foreign Currency Translation: The Company’s non-U.S. subsidiaries that operate in a local currency environment, where that local currency is the functional currency, primarily generate and expend cash in their local currency. Accordingly, all balance sheet accounts of these local functional currency subsidiaries are translated into U.S. dollars at the fiscal period-end exchange rate, and income and expense accounts are translated into U.S. dollars using average rates in effect for the period, except for costs related to those balance sheet items that are translated using historical exchange rates. The resulting translation adjustments are recorded as cumulative translation adjustments and are a component of accumulated other comprehensive income (loss). Translation adjustments are recorded in other income (expense), net, where the U.S. dollar is the functional currency. Note 3: Recent Accounting Pronouncements Recently Adopted In February 2016, the FASB issued ASU 2016-02, “Leases.” The amendment establishes the principles that lessees and lessors shall apply to report useful information to users of financial statements about the amount, timing, and uncertainty of cash flows arising from a lease. In January 2018 and July 2018, the FASB issued ASU 2018-01 and ASU 2018-11 amending the effects of ASU 2016-02, which in combination with ASU 2016-02 were codified as Accounting Standard Codification topic 842 (“ASC 842”). The Company adopted ASC 842 on the first day of the current fiscal year, July 1, 2019, under the modified-retrospective approach, applying the amendments to prospective reporting periods. Results for reporting periods beginning on or after July 1, 2019 are presented under ASC 842, while prior period amounts are not adjusted and continue to be reported in accordance with the historic accounting under ASC 840. The Company elected the package of practical expedients that allowed the Company not to reassess (i) whether any expired or existing contracts contain leases; (ii) the lease classification for any expired or existing leases; and (iii) initial direct lease costs for existing leases. The Company did not elect to use hindsight in connection with the adoption of ASC 842. The Company adopted ASC 842 by recording operating right-of-use assets of $110.8 million, net of deferred rent liabilities of $3.0 million that were reclassified to operating right-of-use assets, and operating lease liabilities of $113.8 million. The Company Continues on next page (cid:2) Lam Research Corporation 2020 10-K 55 also recognized an adjustment of $3.0 million to retained earnings, net of tax; a reduction of $40.4 million to property and equipment, net; and a reduction of $43.8 million to finance leases ($42.3 million of which was previously recognized in long-term debt and finance lease obligations, less current portion and the remaining was previously recognized in current portion of long-term debt and finance lease obligations) related to its de-recognition of its previously recorded build-to-suit arrangements. The adoption of the standard did not materially impact the Company’s Consolidated Statement of Operations and had no impact on cash flows. Updates Not Yet Effective In June 2016, the FASB released ASU 2016-13, “Financial Instruments – Credit Losses.” The amendment revises the impairment model to utilize an expected loss methodology in place of the currently used incurred loss methodology, which will result in more timely recognition of losses on financial instruments, including but not limited to, available for sale debt securities and accounts receivable. The FASB issued a subsequent amendment to the initial guidance in November 2019 within ASU 2019-11. The Company is required to adopt these amendments starting in the first quarter of fiscal year 2021 using a modified-retrospective approach. Early adoption is permitted. The Company does not expect the adoption of this standard to have a material impact on its Consolidated Financial Statements. In November 2018, the FASB issued ASU 2018-18, “Collaborative Arrangements (Topic 808).” The amendment clarifies that certain transactions between participants in a collaborative arrangement should be accounted for under Topic 606 when the counterparty is a customer for a good or service that is a distinct unit of account. The amendment also precludes entities from presenting consideration from transactions with a collaborator that is not a customer together with revenue recognized from contracts with customers. The Company is required to adopt this standard starting in the first quarter of fiscal year 2021. The standard should be applied retrospectively to the period when the Company initially adopted ASC 606. The Company does not expect the adoption of this standard to have a material impact on its Consolidated Financial Statements. In April 2019, the FASB issued ASU 2019-04,”Codification Improvements to Topic 326, Financial Instruments-Credit Losses, Topic 815, Derivatives and Hedging, and Topic 825, Financial Instruments”, that clarifies and improves areas of guidance related to the recently issued standards on credit losses (ASU 2016-13), hedging (ASU 2017-12), and recognition and measurement of financial instruments (ASU 2016-01). The amendments generally have the same effective dates as their related standards. If already adopted, the amendments of ASU 2016-01 and ASU 2016-13 are effective starting in the first quarter of fiscal year 2021. The Company does not expect adoption of this standard to have a material impact on its Consolidated Financial Statements. In March 2020, the FASB issued ASU 2020-04, “Reference Rate Reform (Topic 848)—Facilitation of the Effects of Reference Rate Reform on Financial Reporting.” The ASU provides optional expedients and exceptions for applying generally accepted accounting principles to contract modifications and hedging relationships, subject to meeting certain criteria, that reference LIBOR or another reference rate expected to be discontinued. This ASU may be applied prospectively through December 31, 2022. The Company expects to adopt this guidance and apply it to reference rate reform effected arrangement modifications. Note 4: Revenue Deferred Revenue Revenue of $408.8 million included in deferred profit at June 30, 2019 was recognized during fiscal year 2020. The following table summarizes the transaction price for contracts that have not yet been recognized as revenue as of June 28, 2020 and when the Company expects to recognize the amounts as revenue: Deferred revenue $ 463,759 $ 73,668 (1) $ — (1) $ 537,427 (1) This amount is reported in Deferred profit on the Company’s Consolidated Balance Sheets as the customers can demand the liability to be performed at any time. Less than 1 Year 1-3 Years More than 3 Years Total (in thousands) 56 Disaggregation of Revenue The following table presents the Company’s revenue disaggregated between system and its customer-support related revenue: Systems Revenue Customer support-related revenue and other Year Ended June 28, 2020 June 30, 2019 (in thousands) $ 6,625,130 $6,451,104 3,419,606 3,202,455 $10,044,736 $9,653,559 System revenue includes sales of new leading-edge equipment in deposition, etch and clean markets. Customer support-related revenue includes sales of customer service, spares, upgrades, and non-leading-edge equipment from the Company’s Reliant product line. The Company operates in one reportable business segment: manufacturing and servicing of wafer processing semiconductor manufacturing equipment. Refer to Note 20—Segment, Geographic Information, and Major Customers; for additional information regarding the Company’s evaluation of reportable business segments and the disaggregation of revenue by the geographic regions the Company operates in. Additionally, the Company serves three primary markets: memory, foundry, logic/integrated device manufacturing. The following table presents the percentages of leading- and non-leading-edge equipment and upgrade revenue to each of the primary markets we serve: Memory Foundry Logic/integrated device manufacturing Note 5: Equity-based Compensation Plans Year Ended June 28, 2020 June 30, 2019 58% 31% 11% 70% 20% 10% The Company has stock plans that provide for grants of equity-based awards to eligible participants, including stock options and restricted stock units, of the Company’s Common Stock. An option is a right to purchase Common Stock at a set price. An RSU award is an agreement to issue a set number of shares of Common Stock at the time of vesting. The Company’s options and RSU awards typically vest over a period of three years or less. The Company also has an employee stock purchase plan that allows employees to purchase its Common Stock at a discount through payroll deductions. The Lam Research Corporation 2007 Stock Incentive Plan, as amended and restated, 2011 Stock Incentive Plan, as amended and restated, and the 2015 Stock Incentive Plan (collectively the “Stock Plans”), provide for the grant of non-qualified equity-based awards to eligible employees, consultants and advisors, and non-employee directors of the Company and its subsidiaries. The 2015 Stock Incentive Plan was approved by shareholders authorizing up to 18,000,000 shares available for issuance under the plan. Additionally, 1,232,068 shares that remained available for grants under the Company’s 2007 Stock Incentive Plan were added to the shares available for issuance under the 2015 Stock Incentive Plan. As of June 28, 2020, there were a total of 8,909,055 shares available for future issuance under the Stock Plans. New shares are issued from the Company’s balance of authorized Common Stock from the 2015 Stock Incentive Plan to satisfy stock option exercises and vesting of awards. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 57 The Company recognized the following equity-based compensation expense and benefits in the Consolidated Statements of Operations: Equity-based compensation expense Income tax benefit recognized related to equity-based compensation Year Ended June 28, 2020 June 30, 2019 June 24, 2018 (in thousands) $189,197 $187,234 $172,216 $ 36,135 $ 47,396 $ 87,505 Income tax benefit realized from the exercise and vesting of options and RSUs $ 67,060 $ 49,242 $ 90,297 The estimated fair value of the Company’s equity-based awards, less expected forfeitures, is amortized over the awards’ vesting terms on a straight-line basis. Restricted Stock Units During the fiscal years 2020, 2019, and 2018, the Company issued both service-based RSUs and market-based performance RSUs (“PRSUs”). Market-based PRSUs generally vest three years from the grant date if certain performance criteria are achieved and require continued employment. Based upon the terms of such awards, the number of shares that can be earned over the performance periods is based on the Company’s Common Stock price performance compared to the market price performance of a designated benchmark index, ranging from 0% to 150% of target. The designated benchmark index was the Philadelphia Semiconductor Total Return Index (“XSOX”) for market-based PRSUs issued in 2020 and the Philadelphia Semiconductor Sector Index (“SOX”) for market-based PRSUs issued in 2019 and 2018. The stock price performance or market price performance is measured using the closing price for the 50-trading days prior to the dates the performance period begins and ends. The target number of shares represented by the market-based PRSUs is increased by 2% of target for each 1% that Common Stock price performance exceeds the market price performance of the designated benchmark index. Market-based PRSUs issued in 2020 utilized the XSOX, as adjusted for the reinvestment of dividends on Common Stock on the ex-dividend date, whereas market- based PRSUs issued in 2019 and 2018 utilized the SOX which excluded the impact of dividends. The result of the vesting formula is rounded down to the nearest whole number. Total stockholder return is a measure of stock price appreciation in this performance period. The following table summarizes restricted stock activity: June 25, 2017 Granted Vested Forfeited or canceled June 24, 2018 Granted Vested Forfeited or canceled June 30, 2019 Granted Vested Forfeited or canceled June 28, 2020 Service-based RSUs Outstanding Market-based RSUs Outstanding Number of Shares Weighted-Average Grant Date Fair Value Number of Shares Weighted-Average Grant Date Fair Value 2,687,606 $ 92.01 862,455 $ 964,391 (1,362,369) (96,540) 2,193,088 $ 893,622 (1,135,284) (154,541) 1,796,885 $ 616,353 (912,409) (94,265) 1,406,564 $ 183.97 285,866 87.80 (407,024) 108.67 134.34 161.64 115.23 141.38 159.36 280.08 151.53 176.30 216.34 (47,571) 693,726 $ 163,529 (301,622) (120,859) 434,774 $ 171,526 (257,787) (33,403) 315,110 $ 83.83 125.56 76.88 91.36 104.59 148.50 70.58 104.73 144.57 216.04 111.75 160.83 208.60 The fair value of the Company’s service-based RSUs was calculated based on the fair market value of the Company’s stock at the date of grant, discounted for dividends. Shares granted for market-based PRSUs includes both shares newly granted during the 58 fiscal year, as well as adjustments to previous grants resulting from actual market price performance; total approximate number of shares newly granted were as follows for fiscal years ended June 28, 2020, June 30, 2019 and June 24, 2018; 86,000, 134,000, and 149,000, respectively. The fair value of the Company’s market-based PRSUs granted during fiscal years 2020, 2019, and 2018 was calculated using a Monte Carlo simulation model at the date of the grant. This model requires the input of highly subjective assumptions, including expected stock price volatility and the estimated life of each award: Assumptions: Expected volatility Risk-free interest rate Expected term (years) Dividend yield Resulting grant date fair value: Year Ended June 28, 2020 June 30, 2019 June 24, 2018 35.81% 32.65% 34.07% 0.85% 2.52% 2.35% 2.92 2.92 2.92 1.53% 2.49% 1.05% $ 320.69 $ 165.78 $170.15 As of June 28, 2020, the Company had $293.2 million of total unrecognized compensation expense related to all unvested RSUs granted which is expected to be recognized over a weighted-average remaining period of 2.2 years. Stock Options The following table summarizes stock option activity: June 25, 2017 Granted Exercised Forfeited or expired June 24, 2018 Granted Exercised Forfeited or expired June 30, 2019 Granted Exercised Forfeited or expired June 28, 2020 Options Outstanding Number of Shares Weighted-Average Exercise Price 594,059 $ 63,980 (166,481) (8,630) 482,928 $ 181,450 (110,427) (59,068) 494,883 $ 34,236 (118,334) (4,948) 405,837 $ 66.69 190.07 55.62 84.44 86.53 164.54 61.69 126.05 115.96 300.33 68.31 179.39 144.63 As of June 28, 2020 the options outstanding had a weighted-average remaining life of 4.2 years and a weighted-average exercise price of $144.63. As of June 28, 2020, the Company had 243,541 exercisable options outstanding with a weighted-average remaining life of 3.2 years and a weighted-average exercise price of $110.77. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 59 The fair value of the Company’s stock options granted during fiscal years 2020, 2019, and 2018 was estimated using a Black- Scholes option valuation model. This model requires the input of highly subjective assumptions, including expected stock price volatility and the estimated life of each award: Expected volatility Risk-free interest rate Expected term (years) Dividend yield Year Ended June 28, 2020 June 30, 2019 June 24, 2018 33.89% 32.23% 34.66% 0.88% 2.62% 2.53% 4.63 4.70 4.74 1.53% 2.70% 1.05% The year-end intrinsic value relating to stock options for fiscal years 2020, 2019, and 2018 is presented below: Intrinsic value - options outstanding Intrinsic value - options exercisable Intrinsic value - options exercised June 28, 2020 Year Ended June 30, 2019 (in thousands) June 24, 2018 $ $ $ 64,077 $ 35,674 $ 46,698 $ 30,139 $ 21,137 $ 12,750 $ 43,563 34,661 23,925 As of June 28, 2020, the Company had $6.8 million of total unrecognized compensation expense related to unvested stock options granted and outstanding which is expected to be recognized over a weighted-average remaining period of 2.2 years. ESPP The Company has an employee stock purchase plan (the “ESPP”) which allows employees to designate a portion of their base compensation to be deducted and used to purchase the Company’s Common Stock at a purchase price per share of the lower of 85% of the fair market value of the Company’s Common Stock on the first or last day of the applicable purchase period. Typically, each offering period lasts twelve months and comprises one interim purchase date. During fiscal year 2020, approximately 512 thousand shares of the Company’s Common Stock were sold to employees under the ESPP. At June 28, 2020, approximately 6.4 million shares were available for purchase under the ESPP, and the Company had $30.0 million of total unrecognized compensation cost related to the ESPP which is expected to be recognized over a remaining period of ten months. Note 6: Other Expense, Net The significant components of other expense, net, were as follows: Interest income Interest expense Gains on deferred compensation plan related assets, net Loss on impairment of investments Foreign exchange (losses) gains, net Other, net 60 June 28, 2020 Year Ended June 30, 2019 (in thousands) June 24, 2018 $ 85,433 $ 98,771 $ 85,813 (177,440) (117,263) 5,999 — (3,317) (9,499) 10,464 — 826 (10,959) (97,387) 14,692 (42,456) (3,382) (18,790) $ (98,824) $ (18,161) $ (61,510) Interest income in the year ended June 28, 2020, decreased compared to the year ended June 30, 2019, as a result of lower yield, offset by a higher cash balance. Interest income increased in the year ended June 30, 2019, compared to the year ended June 24, 2018, as a result of a higher yield, offset by a lower cash balance. Interest expense in the year ended June 28, 2020, increased compared to the year ended June 30, 2019, primarily due to the full year impact of the issuance of the $2.5 billion of senior notes that occurred in fiscal year 2019 and issuance of the $2.0 billion senior notes in fiscal year 2020. The increase in interest expense in the year ended June 30, 2019, compared to the year ended June 24, 2018, was also due to the issuance of the $2.5 billion of senior notes in fiscal year 2019, offset by conversions of the 2041 Convertible Notes and the retirement of the 2018 Convertible Notes in May 2018. The gains on deferred compensation plan related assets in the years presented were driven by an improvement in the fair market value of the underlying funds. The loss on impairment of investments in the year ended June 24, 2018 was the result of a decision to sell selected investments held in foreign jurisdictions in connection with the Company’s cash repatriation strategy following the December 2017 U.S. tax reform. Note 7: Income Taxes The components of income (loss) before income taxes were as follows: United States Foreign Year Ended June 28, 2020 June 30, 2019 June 24, 2018 (in thousands) $ 44,739 $ (59,876) $ 128,190 2,530,239 2,506,447 3,023,599 $2,574,978 $2,446,571 $3,151,789 Significant components of the provision (benefit) for income taxes attributable to income before income taxes were as follows: Federal: Current Deferred State: Current Deferred Foreign: Current Deferred June 28, 2020 Year Ended June 30, 2019 (in thousands) June 24, 2018 $ 216,513 $ 143,845 $ 630,148 (18,458) 198,055 (10,722) 133,123 12,871 643,019 4,724 6,524 11,248 5,994 4,944 10,938 5,348 (3,273) 2,075 119,766 110,283 132,566 (5,844) 797 (6,552) 113,922 111,080 126,014 Total provision for income taxes $ 323,225 $ 255,141 $ 771,108 Continues on next page (cid:2) Lam Research Corporation 2020 10-K 61 Deferred income taxes reflect the net tax effect of temporary differences between the carrying amounts of assets and liabilities for financial reporting purposes and the amounts used for income tax purposes, as well as the tax effect of carryforwards. Significant components of the Company’s net deferred tax assets and liabilities were as follows: Deferred tax assets: Tax carryforwards Allowances and reserves Equity-based compensation Inventory valuation differences Prepaid cost sharing Outside basis differences of foreign subsidiaries Operating lease liabilities Other Gross deferred tax assets Valuation allowance Net deferred tax assets Deferred tax liabilities: Intangible assets Convertible debt Capital assets Amortization of goodwill Right-of-use assets Other Gross deferred tax liabilities Net deferred tax assets June 28, 2020 June 30, 2019 (in thousands) $ 249,874 $ 231,390 119,974 7,167 26,069 — 105,159 40,157 26,361 574,761 97,671 14,661 18,516 74,139 16,260 — 17,972 470,609 (244,973) (226,928) 329,788 243,681 (6,442) (24,530) (105,508) (12,256) (40,157) (7,509) (9,883) (46,993) (83,298) (11,299) — (8,752) (196,402) (160,225) $ 133,386 $ 83,456 The change in the gross deferred tax assets, gross deferred tax liabilities, and valuation allowance between fiscal year 2020 and 2019 is primarily due to increases in outside basis differences of foreign subsidiaries, tax credits, operating lease liabilities and right-of-use assets, and decreases in prepaid cost sharing. The Company previously made an accounting policy election to record deferred taxes related to Global Intangible Low-Taxed Income (“GILTI”). Realization of the Company’s net deferred tax assets is based upon the weighting of available evidence, including such factors as the recent earnings history and expected future taxable income. The Company believes it is more likely than not that such deferred tax assets will be realized with the exception of $245.0 million related to California deferred tax assets. At June 28, 2020, the Company continued to record a valuation allowance to offset the entire California deferred tax asset balance due to the single sales factor apportionment resulting in lower taxable income in California. At June 28, 2020, the Company had federal net operating loss carryforwards of $29.1 million. If not utilized, these losses will begin to expire in fiscal year 2021, and are subject to limitation on their utilization. At June 28, 2020, the Company had state net operating loss carryforwards of $91.4 million. If not utilized, these losses will begin to expire in fiscal year 2021 and are subject to limitation on their utilization. At June 28, 2020, the Company had state tax credit carryforwards of $360.0 million. Substantially all of these credits can be carried forward indefinitely. 62 A reconciliation of income tax expense provided at the federal statutory rate (21% in fiscal year 2020 and fiscal year 2019, and 28.27% in fiscal year 2018) to actual income tax expense is as follows: June 28, 2020 Year Ended June 30, 2019 (in thousands) June 24, 2018 Income tax expense computed at federal statutory rate $ 540,745 $ 513,780 $ 891,011 State income taxes, net of federal tax benefit Foreign income taxed at different rates Settlements and reductions in uncertain tax positions Tax credits State valuation allowance, net of federal tax benefit Equity-based compensation Other permanent differences and miscellaneous items U.S. tax reform impacts (28,046) (17,565) (50,585) (146,023) (260,344) (939,808) (12,854) (88,762) 30,923 (23,248) 50,490 — (31,291) (71,779) 26,742 (7,566) 39,251 63,913 (33,367) (69,301) 57,302 (35,875) 43,214 908,517 $ 323,225 $ 255,141 $ 771,108 In November 2019, the Ninth Circuit rejected the en banc appeal petitioned by Altera in July 2019. In that quarter, the Company evaluated the impact of the decision and viewed the denial as an indication that Altera’s position of excluding stock-based compensation expense in an inter-company cost-sharing arrangement was unlikely to be sustained upon further litigation. As a result, the Company reversed $74.5 million of net tax assets associated with stock-based compensation benefits related to previous years in the Condensed Consolidated Financial Statements in the three months ended December 29, 2019 and the Company no longer reflected a net tax benefit within its financial statements related to excluding stock-based compensation from its inter-company cost-sharing arrangement. In February 2020, Altera petitioned the SCOTUS to hear their case. In June 2020, the SCOTUS denied the petition. Earnings of the Company’s foreign subsidiaries included in consolidated retained earnings that are indefinitely reinvested in foreign operations aggregated to approximately $539.3 million at June 28, 2020. If these earnings were remitted to the United States, they would be subject to foreign withholding taxes of approximately $86.4 million at current statutory rates. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 63 As of June 28, 2020, the total gross uncertain tax positions were $476.7 million, compared to $420.8 million as of June 30, 2019, and $305.4 million as of June 24, 2018. During fiscal year 2020, gross uncertain tax positions increased by $55.9 million. The amount of uncertain tax positions that, if recognized, would impact the effective tax rate was $423.8 million, $376.0 million, and $268.3 million, as of June 28, 2020, June 30, 2019, and June 24, 2018, respectively. The aggregate changes in the balance of gross uncertain tax positions were as follows: Balance as of June 25, 2017 Settlements and effective settlements with tax authorities Lapse of statute of limitations Increases in balances related to tax positions taken during prior periods Decreases in balances related to tax positions taken during prior periods Increases in balances related to tax positions taken during current period Balance as of June 24, 2018 Settlements and effective settlements with tax authorities Lapse of statute of limitations Increases in balances related to tax positions taken during prior periods Decreases in balances related to tax positions taken during prior periods Increases in balances related to tax positions taken during current period Balance as of June 30, 2019 Settlements and effective settlements with tax authorities Lapse of statute of limitations Increases in balances related to tax positions taken during prior periods Decreases in balances related to tax positions taken during prior periods Increases in balances related to tax positions taken during current period (in thousands) $ 339,447 (693) (88,837) 2,044 (1,320) 54,772 305,413 (3,705) (28,176) 78,927 (1,577) 69,890 420,772 (1,836) (8,026) 3,206 (3,989) 66,568 Balance as of June 28, 2020 $ 476,695 The Company recognizes interest expense and penalties related to the above uncertain tax positions within income tax expense. The Company had accrued $40.2 million, $19.1 million, and $13.0 million cumulatively for gross interest and penalties as of June 28, 2020, June 30, 2019, and June 24, 2018, respectively. The Company is subject to audits by state and foreign tax authorities. The Company is unable to make a reasonable estimate as to when cash settlements, if any, with the relevant taxing authorities will occur. The Company files U.S. federal, U.S. state, and foreign income tax returns. As of June 28, 2020, tax years 2004-2020 remain subject to examination in the jurisdictions where the Company operates. The Internal Revenue Service (“IRS”) is examining the Company’s U.S. federal income tax return for the fiscal year ended June 24, 2018. As of June 28, 2020, no significant adjustments have been proposed by the IRS. The Company is unable to make a reasonable estimate as to when cash settlements, if any, with the IRS will occur. The Company is in various stages of examinations in connection with all of its tax audits worldwide, and it is difficult to determine when these examinations will be settled. It is reasonably possible that over the next 12-month period the Company may experience an increase or decrease in its uncertain tax positions as a result of tax examinations or lapses of statute of limitations. The change in uncertain tax positions as a result of lapses of statute of limitations may range up to $17.5 million. Note 8: Net Income per Share Basic net income per share is computed by dividing net income by the weighted-average number of common shares outstanding during the period. Diluted net income per share is computed using the treasury stock method, for dilutive stock options, restricted stock units, and convertible notes. 64 The following table reconciles the numerators and denominators of the basic and diluted computations for net income per share. Numerator: Net income Denominator: Basic average shares outstanding Effect of potential dilutive securities: Employee stock plans Convertible notes Warrants Year Ended June 28, 2020 June 30, 2019 June 24, 2018 (in thousands, except per share data) $ 2,251,753 $ 2,191,430 $ 2,380,681 144,814 152,478 161,643 1,236 3,040 — 1,323 5,610 504 2,312 12,258(1) 4,569 Diluted average shares outstanding 149,090 159,915 180,782 Net income per share - basic Net income per share - diluted $ $ 15.55 $ 14.37 $ 15.10 $ 13.70 $ 14.73 13.17 (1) Diluted shares outstanding do not include any effect resulting from note hedges associated with the Company’s 2018 Notes as their impact would have been anti-dilutive. For purposes of computing diluted net income per share, weighted-average common shares do not include potentially dilutive securities that are anti-dilutive under the treasury stock method. The following potentially dilutive securities were excluded: Options and RSUs Note 9: Financial Instruments Fair Value Year Ended June 28, 2020 June 30, 2019 June 24, 2018 (in thousands) 206 578 34 The Company defines fair value as the price that would be received from selling an asset or paid to transfer a liability in an orderly transaction between market participants at the measurement date. When determining the fair value measurements for assets and liabilities required or permitted to be recorded at fair value, the Company considers the principal or most advantageous market in which it would transact, and it considers assumptions that market participants would use when pricing the asset or liability. A fair value hierarchy has been established that prioritizes the inputs to valuation techniques used to measure fair value. The level of an asset or liability in the hierarchy is based on the lowest level of input that is significant to the fair value measurement. Assets and liabilities carried at fair value are classified and disclosed in one of the following three categories: Level 1: Valuations based on quoted prices in active markets for identical assets or liabilities with sufficient volume and frequency of transactions. Level 2: Valuations based on observable inputs other than Level 1 prices such as quoted prices for similar assets or liabilities, quoted prices in markets that are not active, or model-derived valuations techniques for which all significant inputs are observable in the market or can be corroborated by observable market data for substantially the full term of the assets or liabilities. Level 3: Valuations based on unobservable inputs to the valuation methodology that are significant to the measurement of fair value of assets or liabilities and based on non-binding, broker-provided price quotes and may not have been corroborated by observable market data. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 65 The Company’s primary financial instruments include its cash, cash equivalents, investments, restricted cash and investments, long-term investments, accounts receivable, accounts payable, long-term debt and leases, and foreign currency related derivative instruments. The estimated fair value of cash, accounts receivable, and accounts payable approximates their carrying value due to the short period of time to their maturities. The estimated fair values of lease obligations approximate their carrying value as the substantial majority of these obligations have interest rates that adjust to market rates on a periodic basis. Refer to Note 14 — Long Term Debt and Other Borrowings for additional information regarding the fair value of the Company’s senior notes and convertible senior notes. Investments The following table sets forth the Company’s cash, cash equivalents, investments, restricted cash and investments, and other assets measured at fair value on a recurring basis as of June 28, 2020, and June 30, 2019: June 28, 2020 (Reported Within) Cost Unrealized Gain Unrealized (Loss) Fair Value Cash and Cash Equivalents Investments Restricted Cash & Investments Other Assets Cash Time deposit Level 1: $ 977,862 $ — $ — $ 977,862 $ 973,978 $ — $ 3,884 $ 2,244,655 — — 2,244,655 1,994,628 — 250,027 (in thousands) Money market funds 1,709,350 U.S. Treasury and agencies 552,088 — 373 4,571 4,944 — (9) (928) (937) 1,709,350 1,709,350 — 552,452 72,427 76,992 475,460 — — 2,334,229 1,786,342 475,460 68,784 2,330,222 Mutual funds Level 1 total Level 2: Government-sponsored enterprises Foreign government bonds 31,442 10,693 12 28 — (5) 31,454 10,716 25,999 2,540 5,455 8,176 Corporate notes and bonds 1,405,615 5,344 (302) 1,410,657 131,685 1,278,972 Mortgage backed securities - residential Mortgage backed securities - commercial Level 2 total 3,142 71 23,660 1,474,552 144 5,599 — — 3,213 23,804 — — 3,213 23,804 (307) 1,479,844 160,224 1,319,620 — — — — 72,427 72,427 — — — — — — — — — — — — — — — — Total $ 7,027,291 $ 10,543 $ (1,244) $ 7,036,590 $ 4,915,172 $ 1,795,080 $ 253,911 $ 72,427 66 Cost Unrealized Gain Unrealized (Loss) Fair Value Cash and Cash Equivalents Investments Restricted Cash & Investments Other Assets June 30, 2019 (Reported Within) $ 467,460 $ — $ — $ 467,460 $ 462,310 $ — $ 5,150 $ (in thousands) 1,563,686 465,655 76,961 2,187,275 16,005 24,408 — — 283 1,063 1,346 5 35 — 1,563,686 1,313,659 — 1,644,659 1,644,659 — — (24) (283) (307) 465,914 77,741 86,981 378,933 — — 2,188,314 1,731,640 378,933 (41) 15,969 — 24,443 — — 15,969 24,443 1,466,167 2,310 (99) 1,468,378 150,610 1,317,768 6,148 — 29,587 1,542,315 140 2,490 (4) — 6,144 29,727 — — 6,144 29,727 (144) 1,544,661 150,610 1,394,051 250,027 — — — — — — — — — — — — — — 77,741 77,741 — — — — — — Money market funds 1,644,659 Cash Time deposit Level 1: U.S. Treasury and agencies Mutual funds Level 1 total Level 2: Government-sponsored enterprises Foreign government bonds Corporate notes and bonds Mortgage backed securities—residential Mortgage backed securities—commercial Level 2 total Total $ 5,760,736 $ 3,836 $ (451) $ 5,764,121 $ 3,658,219 $ 1,772,984 $ 255,177 $ 77,741 The Company accounts for its investment portfolio at fair value. Realized gains (losses) for investment sales are specifically identified. Management assesses the fair value of investments in debt securities that are not actively traded through consideration of interest rates and their impact on the present value of the cash flows to be received from the investments. The Company also considers whether changes in the credit ratings of the issuer could impact the assessment of fair value. Additionally, the Company considers factors such as the Company’s intent to sell the security and whether it is more likely than not that the Company will be required to sell the security before recovery of its amortized cost basis. During the fiscal year 2018, the Company recorded a $42.5 million other-than-temporary impairment charge on a portion of its available for sale investments as a result of a decision to sell selected investments held in foreign jurisdictions in conjunction with our cash repatriation strategy following the U.S. tax reform legislation. The Company did not recognize any losses on investments due to other-than-temporary impairments in fiscal year 2020 or 2019. Gross realized gains/(losses) from sales of investments were insignificant in the fiscal years 2020, 2019, and 2018. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 67 The following is an analysis of the Company’s cash, cash equivalents, investments, and restricted cash and investments in unrealized loss positions: June 28, 2020 Unrealized Losses Less than 12 Months Unrealized Losses 12 Months or Greater Total Fair Value Gross Unrealized Loss Fair Value Gross Unrealized Loss Fair Value Gross Unrealized Loss (in thousands) U.S. Treasury and agencies $ 189,437 $ (9) $ — $ — $ 189,437 $ Mutual funds Foreign government bonds Corporate notes and bonds 2,623 9,056 332,924 (289) (5) (302) 5,011 (639) — — — — 7,634 9,056 332,924 (9) (928) (5) (302) $ 534,040 $ (605) $ 5,011 $ (639) $ 539,051 $ (1,244) The amortized cost and fair value of cash equivalents, investments, and restricted investments with contractual maturities as of June 28, 2020, are as follows: Due in one year or less Due after one year through five years Due in more than five years Cost Estimated Fair Value (in thousands) $ 5,527,654 $ 5,529,241 433,973 19,018 437,872 19,188 $ 5,980,645 $ 5,986,301 The Company has the ability, if necessary, to liquidate its investments in order to meet the Company’s liquidity needs in the next 12 months. Accordingly, those investments with contractual maturities greater than 12 months from the date of purchase nonetheless are classified as short-term on the accompanying Consolidated Balance Sheets. Derivative Instruments and Hedging The Company carries derivative financial instruments (“derivatives”) on its Consolidated Balance Sheets at their fair values. The Company enters into foreign currency forward contracts and foreign currency options with financial institutions with the primary objective of reducing volatility of earnings and cash flows related to foreign currency exchange rate fluctuations. In addition, the Company enters into interest rate swap arrangements to manage interest rate risk. The counterparties to these derivatives are large, global financial institutions that the Company believes are creditworthy, and therefore, it does not consider the risk of counterparty nonperformance to be material. Cash Flow Hedges The Company’s financial position is routinely subjected to market risk associated with foreign currency exchange rate fluctuations on non-U.S. dollar transactions or cash flows, primarily from Japanese yen-denominated revenues and euro-denominated and Korean won-denominated expenses. The Company’s policy is to mitigate the foreign exchange risk arising from the fluctuations in the value of these non-U.S. dollar denominated transactions or cash flows through a foreign currency cash flow hedging program, using forward contracts and foreign currency options that generally expire within 12 months and no later than 24 months. These hedge contracts are designated as cash flow hedges and are carried on the Company’s balance sheet at fair value with the effective portion of the contracts’ gains or losses included in accumulated other comprehensive income (loss) and subsequently recognized in revenue/expense in the same period the hedged items are recognized. In addition, the Company has entered into interest rate swap agreements to hedge against the variability of cash flows due to changes in certain benchmark interest rates on fixed rate debt. These instruments are designated as cash flow hedges at inception and are settled in conjunction with the issuance of debt. The effective portion of the contracts’ gains or losses is included in 68 accumulated other comprehensive income (loss) and is amortized into income as the hedged item impacts earnings. During the year ended June 28, 2020, the Company entered into and settled a series of these interest rate swap arrangements with a notional value of $400 million. During the year ended June 28, 2020, the company recognized a net loss of $31.5 million of accumulated other comprehensive income, net of tax, related to these interest rate swap agreements. As of June 28, 2020, $31.1 million of losses related to these interest rate swap arrangements remain in accumulated other comprehensive income, which it expects to reclassify from other comprehensive income into earnings over the next 10.0 years. At inception and at each quarter-end, hedges are tested prospectively and retrospectively for effectiveness using regression analysis. Changes in the fair value of foreign exchange contracts due to changes in time value are included in the assessment of effectiveness. To qualify for hedge accounting, the hedge relationship must meet criteria relating to both the derivative instrument and the hedged item. These criteria include identification of the hedging instrument, the hedged item, the nature of the risk being hedged, and how the hedging instrument’s effectiveness in offsetting the exposure to changes in the hedged item’s fair value or cash flows will be measured. There were no material gains or losses during the fiscal years ended June 28, 2020, June 30, 2019, or June 24, 2018 associated with forecasted transactions that failed to occur. There were no material gains or losses during the fiscal years ended June 30, 2019, or June 24, 2018 associated with ineffectiveness. To receive hedge accounting treatment, all hedging relationships are formally documented at the inception of the hedge, and the hedges must be tested to demonstrate an expectation of providing highly effective offsetting changes to future cash flows on hedged transactions. When derivative instruments are designated and qualify as effective cash flow hedges, the Company recognizes effective changes in the fair value of the hedging instrument within accumulated other comprehensive income (loss) until the hedged exposure is realized. Consequently, the Company’s results of operations are not subject to fluctuation as a result of changes in the fair value of the derivative instruments. If hedges are not highly effective or if the Company does not believe that the underlying hedged forecasted transactions will occur, the Company may not be able to account for its derivative instruments as cash flow hedges. If this were to occur, future changes in the fair values of the Company’s derivative instruments would be recognized in earnings. Additionally, related amounts previously recorded in other comprehensive income would be reclassified to income immediately. As of June 28, 2020, the Company had a net gain of $0.3 million accumulated in other comprehensive income, net of tax, related to foreign exchange cash flow hedges which it expects to reclassify from other comprehensive income into earnings over the next 12 months. Additionally, as of June 28, 2020, the Company had a net loss of $2.0 million accumulated in other comprehensive income, net of tax, related to interest rate contracts which it expects to reclassify from other comprehensive income into earnings over the next 4.7 years. Fair Value Hedges The Company had interest rate contracts whereby the Company received fixed rates and paid variable rates based on certain benchmark interest rates, resulting in a net increase or decrease to interest expense, a component of other expense, net in our Consolidated Statement of Operations. These interest rate contracts were designated as fair value hedges and hedged against changes in the fair value of our debt portfolio. The Company concluded that these interest rate contracts met the criteria necessary to qualify for the short-cut method of hedge accounting, and as such, an assumption was made that the change in the fair value of the hedged debt, due to changes in the benchmark rate, exactly offset the change in the fair value of the interest rate swap. Therefore, the derivative was considered to be effective at achieving offsetting changes in the fair value of the hedged liability, and no ineffectiveness was recognized. During the year ended June 28, 2020, the Company terminated and consequently discontinued the hedging relationship of these interest rate contracts, refer to Note 14—Long-Term Debt and Other borrowings for additional information regarding the accumulated fair value adjustment and the related amortization. Balance Sheet Hedges The Company also enters into foreign currency forward contracts to hedge fluctuations associated with foreign currency denominated monetary assets and liabilities, primarily cash, third-party accounts receivable, accounts payable, and intercompany receivables and payables. These forward contracts are not designated for hedge accounting treatment. Therefore, the change in fair value of these derivatives is recorded as a component of other income (expense) and offsets the change in fair value of the foreign currency denominated assets and liabilities, which are also recorded in other income (expense). Continues on next page (cid:2) Lam Research Corporation 2020 10-K 69 As of June 28, 2020, the Company had the following outstanding foreign currency contracts that were entered into under its cash flow and balance sheet hedge programs: Foreign currency forward contracts Japanese yen Euro Korean won Taiwan dollar Chinese renminbi Swiss franc British pound sterling Singapore dollar Indian rupee Malaysian ringgit Notional Value Derivatives Designated as Hedging Instruments: Derivatives Not Designated as Hedging Instruments: (in thousands) Buy Contracts Sell Contracts Buy Contracts Sell Contracts $ — $ 299,450 $ 22,475 $ 54,512 20,492 — — — — — — — — — — — — — — — — 36,113 — 47,612 35,071 12,672 11,191 10,062 7,772 5,612 — — 50,715 — — — — — — — $ 75,004 $ 299,450 $ 188,580 $ 50,715 The fair value of derivative instruments in the Company’s Consolidated Balance Sheet as of June 28, 2020, and June 30, 2019, were as follows: June 28, 2020 June 30, 2019 Fair Value of Derivative Instruments (Level 2) Fair Value of Derivative Instruments (Level 2) Derivative Assets Derivative Liabilities Derivative Assets Derivative Liabilities Balance Sheet Location Fair Value Balance Sheet Location Fair Value Balance Sheet Location (in thousands) Fair Value Balance Sheet Location Fair Value Derivatives designated as hedging instruments: Foreign exchange contracts Interest rate contracts, short-term Interest rate contracts, long-term Prepaid expense and other assets Accrued expenses and other current liabilities $ 1,405 Prepaid expense and other assets $ 1,862 $ 119 — — — — Other assets — 1,537 Accrued expenses and other current liabilities Accrued expenses and other current liabilities Derivatives not designated as hedging instruments: Foreign exchange contracts Total derivatives Prepaid expense and other assets Accrued expenses and other current liabilities Prepaid expense and other assets 1,249 $ 2,905 Accrued expenses and other current liabilities 70 $ 1,475 155 $ 2,017 $ 2,756 5,149 — 748 $ 8,653 Under the master netting agreements with the respective counterparties to the Company’s derivative contracts, subject to applicable requirements, the Company is allowed to net settle transactions of the same currency with a single net amount payable by one party to the other. However, the Company has elected to present the derivative assets and derivative liabilities on a gross basis on its balance sheet. As of June 28, 2020, the potential effect of rights of offset associated with the above foreign exchange and interest rate contracts would be an offset to assets and liabilities by $0.9 million, resulting in a net derivative asset of 70 $1.1 million and net derivative liability of $0.6 million. As of June 30, 2019, the potential effect of rights of offset associated with the above foreign exchange contracts would be an offset to both assets and liabilities by $2.4 million, resulting in a net derivative asset of $0.5 million and a net derivative liability of $6.2 million. The Company is not required to pledge, nor is the Company entitled to receive, cash collateral for these derivative transactions. The effect of derivative instruments designated as cash flow hedges on the Company’s Consolidated Statements of Operations, including accumulated other comprehensive income (“AOCI”), was as follows: Location of Gain (Loss) Recognized in or Reclassified into Income Year Ended June 28, 2020 Year Ended June 30, 2019 Gain (Loss) Recognized in AOCI Gain (Loss) Reclassified from AOCI into Income Gain (Loss) Recognized in AOCI Gain (Loss) Reclassified from AOCI into Income Derivatives in Cash Flow Hedging Relationships (in thousands) Foreign exchange contracts Revenue $ 4,095 $ 2,418 $ 8,143 $ 10,821 Foreign exchange contracts Cost of goods sold Foreign exchange contracts SG&A Interest rate contracts Other expense, net (2,104) (1,158) (40,610) (3,101) (1,501) (700) (3,801) (1,618) — (5,949) (2,321) (134) $ (39,777) $ (2,884) $ 2,724 $ 2,417 The effect of derivative instruments not designated as cash flow hedges on the Company’s Consolidated Statement of Operations was as follows: Derivatives Not Designated as Hedging Instruments: Location of (Loss) Gain Recognized in Income Year Ended June 28, 2020 June 30, 2019 Loss Recognized in Income Gain Recognized in Income (in thousands) Foreign exchange contracts Other income $ (5,971) $ 4,124 Continues on next page (cid:2) Lam Research Corporation 2020 10-K 71 The following table presents the effect of the fair value cash flow hedge accounting on the Statement of Financial Performance as well as presents the location and amount of gain/(loss) recognized in Income on fair value and cash flow hedging relationships: Location and Amount of Gain (Loss) Recognized in Income on Fair Value and Cash Flow Hedging Relationships Year ended June 28, 2020 Revenue Cost of Goods Sold Selling, General and Administrative Other Income (Expense) (in thousands) Total amounts of income and expense line items presented in the statement of financial performance in which the effects of fair value or cash flow hedges are recorded: $ 10,044,736 $ 5,436,043 $ 682,479 $ (98,824) The effects of fair value and cash flow hedging: Gain or (loss) on fair value hedging relationships in Subtopic 815-20: Interest contracts: Hedged items Derivatives designated as hedging instruments Gain or (loss) on cash flow hedging relationships in Subtopic 815-20: Foreign exchange contracts: Amount of gain or (loss) reclassified from accumulated other comprehensive income into income Interest rate contracts: Amount of gain (loss) reclassified from accumulated other comprehensive income into income — — — — — — (12,882) 12,882 2,418 (3,101) (1,501) — — — — (700) Concentrations of Credit Risk Financial instruments that potentially subject the Company to concentrations of credit risk consist principally of cash and cash equivalents, investments, restricted cash and investments, trade accounts receivable, and derivative financial instruments used in hedging activities. Cash is placed on deposit at large, global financial institutions. Such deposits may be in excess of insured limits. Management believes that the financial institutions that hold the Company’s cash are creditworthy and, accordingly, minimal credit risk exists with respect to these balances. The Company’s overall portfolio of available-for-sale securities must maintain an average minimum rating of “AA-” or “Aa3” as rated by Standard and Poor’s, Fitch Ratings, or Moody’s Investor Services. To ensure diversification and minimize concentration, the Company’s policy limits the amount of credit exposure with any one financial institution or commercial issuer. The Company is exposed to credit losses in the event of nonperformance by counterparties on foreign currency and interest rate hedge contracts that are used to mitigate the effect of exchange rate and interest rate fluctuations and on contracts related to structured share repurchase arrangements. These counterparties are large, global financial institutions and, to date, no such counterparty has failed to meet its financial obligations to the Company. Credit risk evaluations, including trade references, bank references, and Dun & Bradstreet ratings, are performed on all new customers, and the Company monitors its customers’ financial condition and payment performance. In general, the Company does not require collateral on sales. As of June 28, 2020, two customers accounted for approximately 21% and 12%, of accounts receivable, respectively. As of June 30, 2019, four customers accounted for approximately 18%, 15%, 11%, and 10% of accounts receivable, respectively. No other customers accounted for more than 10% of accounts receivable, respectively. The Company’s balance and transactional activity for its allowance for doubtful accounts is not material as of and for the twelve months ended June 28, 2020, June 30, 2019, and June 24, 2018. 72 Note 10: Inventories Inventories are stated at the lower of cost (first-in, first-out method) or net realizable value. System shipments to customers in Japan, for which title does not transfer until customer acceptance, are classified as finished goods inventory and carried at cost until title transfers. Inventories consist of the following: Raw materials Work-in-process Finished goods June 28, 2020 June 30, 2019 (in thousands) $ 1,161,961 $ 994,738 251,199 486,864 174,219 371,183 $ 1,900,024 $ 1,540,140 Note 11: Property and Equipment Property and equipment, net, is presented in the table below. In connection with the adoption of ASC 842, the Company has excluded $18.4 million of finance right-of-use assets recorded within property and equipment, net from the table below. See Note 15—Leases for additional information regarding these finance lease right-of-use assets. Manufacturing and engineering equipment Buildings and improvements Computer and computer-related equipment Office equipment, furniture and fixtures Land Less: accumulated depreciation and amortization June 28, 2020 June 30, 2019 (in thousands) $ 1,154,668 $ 1,039,454 660,865 178,193 83,386 58,805 664,061 190,974 82,115 46,155 2,135,917 2,022,759 (1,082,827) (963,682) $ 1,053,090 $ 1,059,077 Depreciation expense, excluding amortization of finance lease right of use assets during fiscal year 2020 was $198.8 million. During fiscal years 2019 and 2018, depreciation expense, including amortization of capital leases, was $182.1 million, and $165.2 million, respectively. Note 12: Goodwill and Intangible Assets Goodwill The balance of goodwill was $1.5 billion as of June 28, 2020 and June 30, 2019, respectively. As of June 28, 2020 and June 30, 2019, $61.1 million of the goodwill balance is tax deductible, and the remaining balance is not tax deductible due to purchase accounting and applicable foreign law. No goodwill impairments were recognized in fiscal years 2020, 2019, or 2018. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 73 Intangible Assets The following table provides details of the Company’s intangible assets, other than goodwill: June 28, 2020 Accumulated Amortization Gross June 30, 2019 Accumulated Amortization Net Net Gross (in thousands) Customer relationships Existing technology $ 630,137 $ (532,550) $ 97,587 $ 630,165 $ (483,204) $146,961 668,992 (654,382) 14,610 669,399 (647,837) 21,562 Patents and other intangible assets 98,342 (42,007) 56,335 126,235 (77,808) 48,427 Total intangible assets $1,397,471 $ (1,228,939) $168,532 $1,425,799 $ (1,208,849) $216,950 The Company recognized $66.2 million, $127.3 million, and $161.2 million in intangible asset amortization expense during fiscal years 2020, 2019, and 2018, respectively. No intangible asset impairments were recognized in fiscal years 2020, 2019, or 2018. The estimated future amortization expense of intangible assets as of June 28, 2020, is reflected in the table below. The table excludes $12.3 million of capitalized costs for intangible assets that have not yet been placed into service. Fiscal Year 2021 2022 2023 2024 2025 Thereafter Note 13: Accrued Expenses and Other Current Liabilities Accrued expenses and other current liabilities consist of the following: Accrued compensation Warranty reserves Income and other taxes payable Dividend payable Other Amount (in thousands) $ 65,949 61,687 16,156 8,561 3,868 16 $ 156,237 June 28, 2020 June 30, 2019 (in thousands) $ 402,401 $ 336,090 117,839 215,652 167,129 369,634 127,932 49,926 158,868 273,825 $ 1,272,655 $ 946,641 74 Note 14: Long Term Debt and Other Borrowings As of June 28, 2020, and June 30, 2019, the Company’s outstanding debt consisted of the following: June 28, 2020 June 30, 2019 Amount (in thousands) Effective Interest Rate Amount (in thousands) Effective Interest Rate Fixed-rate 2.75% Senior Notes Due March 15, 2020 (“2020 Notes”) $ — — $ 500,000 Fixed-rate 2.80% Senior Notes Due June 15, 2021 (“2021 Notes”) Fixed-rate 3.80% Senior Notes Due March 15, 2025 (“2025 Notes”) Fixed-rate 3.75% Senior Notes Due March 15, 2026 (“2026 Notes”) 800,000 500,000 750,000 2.95% 3.87% 3.86% 800,000 500,000 750,000 Fixed-rate 4.00% Senior Notes Due March 15, 2029 (“2029 Notes”) 1,000,000 4.09% 1,000,000 Fixed-rate 1.90% Senior Note Due June 15, 2030 (“2030 Notes”) 750,000 2.01% — 2.88% 2.95% 3.87% 3.86% 4.09% — Fixed-rate 2.625% Convertible Notes Due May 15, 2041 (“2041 Notes”) 48,460 (1) 4.28% 212,349 (1) 4.28% Fixed-rate 4.875% Senior Notes Due March 15, 2049 (“2049 Notes”) Fixed-rate 2.875% Senior Note Due June 15, 2050 (“2050 Notes”) Fixed-rate 3.125% Senior Note Due June 15, 2060 (“2060 Notes”) Total debt outstanding, at par Unamortized discount Fair value adjustment — interest rate contracts Unamortized bond issuance costs Total debt outstanding, at carrying value Reported as: Current portion of long-term debt Long-term debt Total debt outstanding, at carrying value 4.93% 2.93% 3.18% 750,000 750,000 500,000 5,848,460 (53,086) 8,405 (2) (8,301) 5,795,478 836,107 4,959,371 5,795,478 $ $ $ 750,000 4.93% — — — — 4,512,349 (73,191) (3,612) (5,535) 4,430,011 662,308 3,767,703 4,430,011 $ $ $ (1) As of the report date, these notes were convertible at the option of the bondholder. This is a result of the following condition being met: the market value of the Company’s Common Stock was greater than 130% of the convertible notes conversion price for 20 or more of the 30 consecutive trading days preceding the quarter-end. As a result, the 2041 Notes were classified in current liabilities and a portion of the equity component associated with the convertible notes, representing the unamortized discount, was classified in temporary equity on the Company’s Consolidated Balance Sheets. Upon closure of the conversion period, the notes not converted will be reclassified back into noncurrent liabilities and the temporary equity will be reclassified into permanent equity. (2) This amount represents a cumulative fair market gain for discontinued hedging relationships, net of an immaterial amount of amortization for the year ended June 28, 2020. The Company’s contractual cash obligations relating to its outstanding debt as of June 28, 2020, were as follows: Payments Due by Fiscal Year: 2021 (1) 2022 2023 2024 2025 Thereafter Total (in thousands) $ 848,460 — — — 500,000 4,500,000 5,848,460 $ (1) As noted above, the conversion period for the 2041 Notes is open as of June 28, 2020. As there is the potential for conversion at the option of the holder, the principal balance of the 2041 Notes has been included in the one-year payment period. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 75 Convertible Senior Notes In June 2012, with the acquisition of Novellus, the Company assumed $700 million in aggregate principal amount of 2.625% Convertible Senior Notes due May 15, 2041 (the “2041 Notes”). The Company pays cash interest at an annual rate of 2.625%, on a semi-annual basis on May 15 and November 15 of each year. The 2041 Notes also have a contingent interest payment provision that may require the Company to pay additional interest, up to 0.60% per year, based on certain thresholds, beginning with the semi-annual interest payment on May 15, 2021, and upon the occurrence of certain events, as outlined in the indenture governing the 2041 Notes. The Company separately accounts for the liability and equity components of the 2041 Notes. The initial debt components of the 2041 Notes were valued based on the present value of the future cash flows using the Company’s borrowing rate at the date of the issuance or assumption for similar debt instruments without the conversion feature, which equals the effective interest rate on the liability component disclosed in the table below, respectively. The equity component was initially valued equal to the principle value of the notes, less the present value of the future cash flows using the Company’s borrowing rate at the date of the issuance or assumption for similar debt instruments without a conversion feature, which equated to the initial debt discount. The 2041 Notes may be redeemed by the Company on or after May 21, 2021 at a price equal to outstanding principal plus accrued and unpaid interest if the last reported sales price of common shares has been equal to or more than 150% of the then applicable conversion price for at least 20 trading days during the 30 consecutive trading days prior to the redemption notice date. Under certain circumstances, the 2041 Notes may be converted into shares of the Company’s Common Stock. The number of shares each debenture is convertible into is based on conversion rates, disclosed in the table below. The principal value of the 2041 Note conversions in the fiscal year ended June 28, 2020, was $163.9 million. During the quarter ended June 28, 2020 and in the subsequent period through August 18, 2020, the Company received notice of conversion for an additional $18.1 million principal value of 2041 Notes, which will settle in the quarter ending September 27, 2020. As a result of the cumulative conversions, as of June 28, 2020, $48.5 million of the 2041 notes remain outstanding. Selected additional information regarding the 2041 Notes outstanding as of June 28, 2020, and June 30, 2019, is as follows: Carrying amount of permanent equity component, net of tax Carrying amount of temporary equity component, net of tax Remaining amortization period (years) Fair Value of Notes (Level 2) Conversion rate (shares of common stock per $1,000 principal amount of notes) Conversion price (per share of common stock) If-converted value in excess of par value Estimated share dilution using average quarterly stock price of $270.53 per share 2041 Notes June 28, 2020 June 30, 2019 (in thousands, except years, percentages, conversion rate, and conversion price) 160,604 49,439 21.9 $ $ $ $ $ 161,467 10,995 $ $ 20.9 462,857 31.5206 31.73 413,636 1,348 Senior Notes On May 5, 2020, the company completed a public offering of $750 million aggregate principal amount of the Company’s Senior Notes due June 15, 2030 (the “2030 Notes”), $750 million aggregate principal amount of the Company’s Senior Notes due June 15, 2050 (the “2050 Notes”), and $500 million aggregate principal amount of the Company’s Senior Notes due June 15, 2060 (the “2060 Notes”). The Company will pay interest at an annual rate of 1.90%, 2.875%, and 3.125%, on the 2030, 2050, and 2060 Notes, respectively, on a semi-annual basis on June 15 and December 15 of each year beginning December 15, 2020. On March 4, 2019, the company completed a public offering of $750 million aggregate principal amount of the Company’s Senior Notes due March 15, 2026 (the “2026 Notes”), $1.0 billion aggregate principal amount of the Company’s Senior Notes due March 15, 2029 (the “2029 Notes”), and $750 million aggregate principal amount of the Company’s Senior Notes due March 15, 2049 (the “2049 Notes”). The Company pays interest at an annual rate of 3.75%, 4.00%, and 4.875%, on the 2026, 2029, and 2049 Notes, respectively, on a semi-annual basis on March 15 and September 15 of each year. 76 On March 12, 2015, the Company completed a public offering of $500 million aggregate principal amount of the Company’s Senior Notes due March 15, 2020 (the “2020 Notes”) and $500 million aggregate principal amount of the Company’s Senior Notes due March 15, 2025 (the “2025 Notes”). The Company pays interest at an annual rate of 3.80% on the 2025 Notes on a semi-annual basis on March 15 and September 15 of each year. During the year ended June 26, 2016, the Company entered into a series of interest rate contracts hedging the fair value of a portion of the 2025 Notes par value, whereby the Company received a fixed rate and paid a variable rate based on a certain benchmark interest rate. During the year ended June 28, 2020, the Company terminated and consequently discontinued the hedging relationship of these interest rate contracts. Refer to Note 9—Financial Instruments for additional information regarding these interest rate contracts. During the year ended June 28, 2020, the Company settled the 2020 Notes at par upon their maturity. Prior to settlement, the Company paid interest at an annual rate of 2.75% on the 2020 Notes. On June 7, 2016, the Company completed a public offering of $800 million aggregate principal amount of Senior Notes due June 2021 (the “2021 Notes”). The Company pays interest at an annual rate of 2.80% on the 2021 Notes on a semi-annual basis on June 15 and December 15 of each year. The Company may redeem the 2021, 2025, 2026, 2029, 2030, 2049, 2050, and 2060 Notes (collectively the “Senior Notes”) at a redemption price equal to 100% of the principal amount of such series (“par”), plus a “make whole” premium as described in the indenture in respect to the Senior Notes and accrued and unpaid interest before May 15, 2021 for the 2021 Notes, before December 15, 2024 for the 2025 Notes, before January 15, 2026 for the 2026 Notes, before December 15, 2028 for the 2029 Notes, before March 15, 2030 for the 2030 Notes, before September 15, 2048 for the 2049 Notes, before December 15, 2049 for the 2050 Notes, and before December 15, 2059 for the 2060 Notes. The Company may redeem the Senior Notes at par, plus accrued and unpaid interest at any time on or after May 15, 2021 for the 2021 Notes, on or after December 24, 2024 for the 2025 Notes, on or after January 15, 2026 for the 2026 Notes, on or after December 15, 2028 for the 2029 Notes, on or after March 15, 2030 for the 2030 Notes, on or after September 15, 2048 for the 2049 Notes, on or after December 15, 2049 for the 2050 Notes, and on or after December 15, 2059 for the 2060 Notes. In addition, upon the occurrence of certain events, as described in the indenture, the Company will be required to make an offer to repurchase the Senior Notes at a price equal to 101% of the principal amount of the respective note, plus accrued and unpaid interest. Selected additional information regarding the Senior Notes outstanding as of June 28, 2020, is as follows: 2021 Notes 2025 Notes 2026 Notes 2029 Notes 2030 Notes 2049 Notes 2050 Notes 2060 Notes Remaining Amortization period Fair Value of Notes (Level 2) (years) (in thousands) 1.0 $ 4.7 $ 5.7 $ 815,560 565,985 859,560 8.7 $ 1,185,430 10.0 $ 763,793 28.7 $ 1,045,035 30.0 $ 773,603 40.0 $ 527,825 Revolving Credit Facility On March 12, 2014, the Company established an unsecured Credit Agreement. This agreement was amended on November 10, 2015 (the “Amended and Restated Credit Agreement”), October 13, 2017 (the “2nd Amendment”), and February 25, 2019 (the “3rd Amendment”). Under the Amended and Restated Credit Agreement (as amended by the 2nd and 3rd Amendment), the Company has a revolving credit facility of $1.25 billion with a syndicate of lenders with an expansion option that will allow the Company, subject to certain requirements, to request an increase in the facility of up to an additional $600.0 million, for a potential total commitment of $1.85 billion. The facility matures on October 13, 2022. Interest on amounts borrowed under the credit facility is, at the Company’s option, based on (1) a base rate, defined as the greatest of (a) prime rate, (b) Federal Funds rate plus 0.5%, or (c) one-month LIBOR plus 1.0%, plus a spread of 0.0% to 0.5%, or (2) LIBOR multiplied by the statutory rate, plus a spread of 0.9% to 1.5%, in each case as the applicable spread is determined Continues on next page (cid:2) Lam Research Corporation 2020 10-K 77 based on the rating of the Company’s non-credit enhanced, senior unsecured long-term debt. Principal and any accrued and unpaid interest is due and payable upon maturity. Additionally, the Company will pay the lenders a quarterly commitment fee that varies based on the Company’s credit rating. The Amended and Restated Credit Agreement contains affirmative covenants, negative covenants, financial covenants, and events of default. As of June 28, 2020, the Company had no borrowings outstanding under the credit facility and was in compliance with all financial covenants. Commercial Paper Program On November 13, 2017, the Company established a commercial paper program under which the Company may issue unsecured commercial paper notes on a private placement basis up to a maximum aggregate principal amount of $1.25 billion. The net proceeds from the CP Program will be used for general corporate purposes, including repurchases of the Company’s Common Stock from time to time under the Company’s stock repurchase program. Amounts available under the CP Program may be re-borrowed. The CP Program is backstopped by the Company’s Revolving Credit Arrangement. As of June 28, 2020, the Company had no outstanding borrowings under the CP Program. Interest Cost The following table presents the amount of interest cost recognized relating to both the contractual interest coupon and amortization of the debt discount, issuance costs, and effective portion of interest rate contracts with respect to the Senior Notes, convertible notes, commercial paper, and the revolving credit facility during the fiscal years ended June 28, 2020, June 30, 2019, and June 24, 2018. Contractual interest coupon Amortization of interest discount Amortization of issuance costs Effect of interest rate contracts, net Total interest cost recognized Note 15: Leases June 28, 2020 Year Ended June 30, 2019 (in thousands) June 24, 2018 $ 169,483 $ 100,712 $ 4,280 1,632 1,037 3,937 1,426 4,086 77,091 12,225 2,034 3 $ 176,432 $ 110,161 $ 91,353 The Company leases certain office spaces, manufacturing and warehouse spaces, equipment, and vehicles. On July 1, 2019 the Company adopted ASC 842. Refer to Note 3—Recent Accounting Pronouncements for additional information regarding the adoption. While the majority of the Company’s lease arrangements are operating leases, the Company has certain leases that qualify as finance leases. The components of lease expense were as follows for the year ended June 28, 2020 (in thousands): Financing lease cost: Amortization of right-of-use assets Interest on lease liabilities Total finance lease cost Operating lease cost Variable lease cost $ $ $ 3,613 506 4,119 46,101 91,851 Variable lease payments are expensed as incurred and are not included within the right of use asset and lease liability calculation. Variable lease payments primarily include costs associated with the Company’s third party logistics arrangements that contain one or more embedded leases. Variable lease costs will fluctuate based on factory output and material receipt volumes. Short-term rental expense, for agreements less than one year in duration, were immaterial for the twelve months ended June 28, 2020. 78 Supplemental cash flow information related to leases was as follows as of June 28, 2020 (in thousands): Cash paid for amounts included in the measurement of lease liabilities: Operating cash flows paid for operating leases Financing cash flows paid for principal portion of finance leases Right-of-use assets obtained in exchange for lease obligations: Operating leases Finance leases Supplemental balance sheet information related to leases were as follows as of June 28, 2020 (in thousands): Operating leases Other assets Accrued expenses and other current liabilities Other long-term liabilities Total operating lease liabilities Finance Leases Property and Equipment, net Current portion of long-term debt and lease liabilities Long-term debt and lease liabilities Total finance lease liabilities Operating leases Finance leases As of June 28, 2020, the maturities of lease liabilities are as follows: 2021 2022 2023 2024 2025 Thereafter Total lease payments Less imputed interest Total $ 50,223 3,539 $ 108,816 3,019 $ $ $ $ $ $ 174,583 49,480 123,889 173,369 18,409 3,770 11,477 15,247 June 28, 2020 Weighted-Average Remaining Lease Term (in years) Weighted-Average Discount Rate 9.0 4.1 2.57% 2.79% Operating Leases Finance Leases (in thousands) $ 50,611 $ 31,178 22,446 18,279 14,761 49,660 $ $ 186,935 $ (13,566) 173,369 $ 4,170 6,709 1,541 1,080 617 2,304 16,421 (1,174) 15,247 Continues on next page (cid:2) Lam Research Corporation 2020 10-K 79 Selected Operating Leases and Related Guarantees The Company leases the majority of its administrative, R&D and manufacturing facilities, regional sales/service offices, and certain equipment under non-cancelable operating leases. Certain of the Company’s facility leases for buildings located at its Fremont, California headquarters; Tualatin, Oregon campus; and certain other facility leases provide the Company with options to extend the leases for additional periods or to purchase the facilities. Certain of the Company’s facility leases provide for periodic rent increases based on the general rate of inflation. The Company’s rental expense for facilities occupied during fiscal years 2019, and 2018 was $28.1 million, and $23.5 million, respectively. The Company has operating leases regarding certain improved properties in Fremont and Livermore, California (the “California Operating Leases”). The Company is required to maintain cash collateral in an aggregate of approximately $250 million in separate interest-bearing accounts as security for the Company’s obligations. These amounts are recorded with other restricted cash and investments in the Company’s Consolidated Balance Sheet as of June 28, 2020. During the term of the California Operating Leases and when the terms of the California Operating Leases expire, the property subject to those Operating Leases may be re-marketed. The Company has guaranteed to the lessor that each property will have a certain minimum residual value. The aggregate guarantee made by the Company under the California Operating Leases is generally no more than $220.4 million; however, under certain default circumstances, the guarantee with regard to the California Operating Lease may be 100% of the lessor’s aggregate investment in the applicable property, which in no case will exceed $250.0 million, in the aggregate. Note 16: Retirement and Deferred Compensation Plans Employee Savings and Retirement Plan The Company maintains a 401(k) retirement savings plan for its eligible employees in the United States. Each participant in the plan may elect to contribute from 1% to 75% of annual eligible earnings to the plan, subject to statutory limitations. The Company makes matching employee contributions in cash to the plan at the rate of 50% of the first 6% of earnings contributed. Employees participating in the 401(k) retirement savings plan are fully vested in the Company matching contributions, and investments are directed by participants. The Company made matching contributions of $23.6 million, $24.1 million, and $21.4 million, in fiscal years 2020, 2019, and 2018, respectively. Deferred Compensation Arrangements The Company has an unfunded, non-qualified deferred compensation plan whereby certain executives may defer a portion of their compensation. Participants earn a return on their deferred compensation based on their allocation of their account balance among various mutual funds. The Company controls the investment of these funds, and the participants remain general creditors of the Company. Participants are able to elect the payment of benefits on a specified date at least three years after the opening of a deferral sub-account or upon retirement. Distributions are made in the form of lump sum or annual installments over a period of up to 20 years as elected by the participant. If no alternate election has been made, a lump sum payment will be made upon termination of a participant’s employment with the Company. As of June 28, 2020, and June 30, 2019, the liability of the Company to the plan participants was $220.0 million and $207.0 million, respectively, which was recorded in accrued expenses and other current liabilities and other long-term liabilities on the Consolidated Balance Sheets. As of June 28, 2020, and June 30, 2019, the Company had investments in the aggregate amount of $235.1 million and $228.9 million, respectively, which correlate to the deferred compensation obligations, which were recorded in other assets on the Consolidated Balance Sheets. Post-Retirement Healthcare Plan The Company maintains a post-retirement healthcare plan for certain executive and director retirees. Coverage continues through the duration of the lifetime of the retiree or the retiree’s spouse, whichever is longer. The benefit obligation was $41.0 million and $40.5 million as of June 28, 2020, and June 30, 2019, respectively. Note 17: Commitments and Contingencies The Company has certain obligations to make future payments under various contracts; some of these are recorded on its balance sheet and some are not. Obligations that are recorded on the Company’s balance sheet include the Company’s operating and finance lease obligations. Obligations that are not recorded on the Company’s balance sheet include contractual relationships for purchase obligations and certain guarantees. The Company’s commitments relating to off-balance sheet agreements are included in the tables below. These amounts exclude $439.5 million of liabilities related to uncertain tax positions because the Company is unable to reasonably estimate the ultimate amount or time of settlement. See Note 7—Income Taxes for further discussion. Other Guarantees The Company has issued certain indemnifications to its lessors for taxes and general liability under some of its agreements. The Company has entered into insurance contracts that are intended to limit its exposure to such indemnifications. As of June 28, 2020, 80 the Company had not recorded any liability on its Consolidated Financial Statements in connection with these indemnifications, as it does not believe that it is probable that any material amounts will be paid under these guarantees. Generally, the Company indemnifies, under pre-determined conditions and limitations, its customers for infringement of third-party intellectual property rights by the Company’s products or services. The Company seeks to limit its liability for such indemnity to an amount not to exceed the sales price of the products or services subject to its indemnification obligations. The Company does not believe that it is probable that any material amounts will be paid under these guarantees. The Company provides guarantees and standby letters of credit to certain parties as required for certain transactions initiated during the ordinary course of business. As of June 28, 2020, the maximum potential amount of future payments that the Company could be required to make under these arrangements and letters of credit was $58.6 million. The Company does not believe, based on historical experience and information currently available, that it is probable that any material amounts will be required to be paid. In addition, the Company has entered into indemnification agreements with its officers and directors, consistent with its Bylaws and Certificate of Incorporation; and under local law, the Company may be required to provide indemnification to its employees for actions within the scope of their employment. Although the Company maintains insurance contracts that cover some of the potential liability associated with these indemnification agreements, there is no guarantee that all such liabilities will be covered. The Company does not believe, based on historical experience and information currently available, that it is probable that any material amounts will be required to be paid under such indemnification agreements or statutory obligations. Purchase Obligations Purchase obligations consist of non-cancelable significant contractual obligations either on an annual basis or over multi-year periods. The contractual cash obligations and commitments table presented below contains the Company’s minimum obligations at June 28, 2020, under these arrangements and others. For obligations with cancellation provisions, the amounts included in the following table were limited to the non-cancelable portion of the agreement terms or the minimum cancellation fee. Actual expenditures will vary based on the volume of transactions and length of contractual service provided. The Company’s commitments related to these agreements as of June 28, 2020, were as follows: Payments Due by Fiscal Year: 2021 2022 2023 2024 2025 Thereafter Total Warranties Purchase Obligations (in thousands) $ 541,524 49,520 49,520 33,211 33,212 958 $ 707,945 The Company provides standard warranties on its systems. The liability amount is based on actual historical warranty spending activity by type of system, customer, and geographic region, modified for any known differences such as the impact of system reliability improvements. As of June 28, 2020, warranty reserves totaling $11.4 million were recognized in other long-term liabilities, the remainder were included in accrued expenses and other current liabilities in the Company’s Consolidated Balance Sheets. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 81 Changes in the Company’s product warranty reserves were as follows: Balance at beginning of period Warranties issued during the period Settlements made during the period Changes in liability for pre-existing warranties Balance at end of period Legal Proceedings Year Ended June 28, 2020 June 30, 2019 (in thousands) $ 127,932 $ 151,508 (131,177) (19,066) 192,480 249,737 (307,079) (7,206) $ 129,197 $ 127,932 While the Company is not currently a party to any legal proceedings that it believes material, the Company is either a defendant or plaintiff in various actions that have arisen from time to time in the normal course of business, including intellectual property claims. The Company accrues for a liability when it is both probable that a liability has been incurred and the amount of the loss can be reasonably estimated. Significant judgment is required in both the determination of probability and the determination as to whether a loss is reasonably estimable. Based on current information, the Company does not believe that a material loss from known matters is probable and therefore has not recorded an accrual of any material amount for litigation or other contingencies related to existing legal proceedings. Note 18: Stock Repurchase Program In November 2018, the Board of Directors authorized the Company to repurchase up to an additional $5.0 billion of Common Stock. These repurchases can be conducted on the open market or as private purchases and may include the use of derivative contracts with large financial institutions, in all cases subject to compliance with applicable law. This repurchase program has no termination date and may be suspended or discontinued at any time. Funding for this repurchase program may be through a combination of cash on hand, cash generation, and borrowings. As of June 28, 2020, the Company has purchased approximately $3.2 billion of shares under this authorization, $0.7 billion via open market trading and $2.5 billion utilizing accelerated share repurchase arrangements. Repurchases under the repurchase program were as follows during the periods indicated: Period Available balance as of June 30, 2019 Quarter ended September 29, 2019 Quarter ended December 29, 2019 Quarter ended March 29, 2020 Quarter ended June 28, 2020 Total Number of Shares Repurchased Total Cost of Repurchase Average Price Paid Per Share(1) Amount Available Under Repurchase Program (in thousands, except per share data) $ 3,033,500 383 $ 75,196 $ 196.34 $ 2,958,304 3,224 (2) $ 1,000,475 $ — $ 1,957,829 1,239 (2) $ 146,397 $ 274.37 $ 1,811,432 145 $ 38,005 $ 261.34 $ 1,773,427 (1) Average price paid per share excludes effect of accelerated share repurchases; see additional disclosure below regarding the Company’s accelerated share repurchase activity during the fiscal year. (2) Includes shares received at final settlement of accelerated share repurchase agreements; see additional disclosures below regarding the Company’s accelerated share repurchase activity during the fiscal year. In addition to the shares repurchased under the Board-authorized repurchase program shown above, the Company acquired 380 thousand shares at a total cost of $109.6 million during the 12 months ended June 28, 2020, which the Company withheld through net settlements to cover minimum tax withholding obligations upon the vesting of restricted stock unit awards granted under the Company’s equity compensation plans. The shares retained by the Company through these net share settlements are not a part of the Board-authorized repurchase program but instead are authorized under the Company’s equity compensation plan. 82 Accelerated Share Repurchase Agreements On November 22, 2019, the Company entered into two separate accelerated share repurchase agreements (collectively, the “November 2019 ASR”) with two financial institutions to repurchase a total of $1.0 billion of Common Stock. The Company took an initial delivery of approximately 2.9 million shares, which represented 75% of the prepayment amount divided by the Company’s closing stock price on November 22, 2019. The total number of shares received under the November 2019 ASR was based upon the average daily volume weighted average price of the Company’s Common Stock during the repurchase period, less an agreed upon discount. Final settlement of the November 2019 ASR occurred during March 2020, resulting in the receipt of approximately 705 thousand additional shares, which yielded a weighted-average share price of approximately $280.27 for the transaction period. On June 4, 2019, the Company entered into four separate accelerated share repurchase agreements (collectively, the “June 2019 ASR”) with two financial institutions to repurchase a total of $750 million of Common Stock. The Company took an initial delivery of approximately 3.1 million shares, which represented 75% of the prepayment amount divided by the Company’s closing stock price on June 4, 2019. The total number of shares received under the June 2019 ASR will be based upon the average daily volume weighted average price of the Company’s Common Stock during the repurchase period, less an agreed upon discount. Final settlement of the agreements occurred during November 2019, resulting in the receipt of approximately 361 thousand additional shares, which yielded a weighted-average share price of approximately $215.60 for the transaction period. Note 19: Comprehensive Income (Loss) The components of accumulated other comprehensive loss, net of tax at the end of June 28, 2020, as well as the activity during the fiscal year ended June 28, 2020, were as follows: Accumulated Foreign Currency Translation Adjustment Accumulated Unrealized Gain or Loss on Cash Flow Hedges Accumulated Unrealized Holding Gain or Loss on Available-For-Sale Investments Accumulated Unrealized Components of Defined Benefit Plans Total (in thousands) Balance as of June 30, 2019 $ (39,370) $ (4,330) $ 2,146 $ (22,476) $ (64,030) Other comprehensive (loss) income before reclassifications Losses (gains) reclassified from accumulated other comprehensive income (loss) to net income Net current-period other comprehensive income (loss) (6,428) (30,603) 1,842 1,949 (33,240) (13) (1) 2,137 (2) 935 (1) — 3,059 (6,441) (28,466) 2,777 1,949 (30,181) Balance as of June 28, 2020 $ (45,811) $ (32,796) $ 4,923 $ (20,527) $ (94,211) (1) Amount of after-tax gain reclassified from accumulated other comprehensive income into net income located in other expense, net. (2) Amount of after-tax gain reclassified from accumulated other comprehensive income into net income located in revenue: $2.1 million gain; cost of goods sold: $2.6 million loss; selling, general, and administrative expenses: $1.1 million loss; and other income and expense: $0.5 million loss. Tax related to other comprehensive income, and the components thereto, for the years ended June 28, 2020, June 30, 2019, and June 24, 2018 was not material. Note 20: Segment, Geographic Information, and Major Customers The Company operates in one reportable business segment: manufacturing and servicing of wafer processing semiconductor manufacturing equipment. The Company’s material operating segments qualify for aggregation due to their customer base and similarities in economic characteristics, nature of products and services, and processes for procurement, manufacturing, and distribution. The Company operates in seven geographic regions: United States, China, Europe, Japan, Korea, Southeast Asia, and Taiwan. For geographical reporting, revenue is attributed to the geographic location in which the customers’ facilities are located, while long-lived assets are attributed to the geographic locations in which the assets are located. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 83 Revenues and long-lived assets by geographic region were as follows: Revenue: China Korea Taiwan Japan United States Southeast Asia Europe Total revenue Long-lived assets: United States Europe Korea Southeast Asia China Japan Taiwan Year Ended June 28, 2020 June 30, 2019 June 24, 2018 (in thousands) $ 3,083,916 $ 2,161,440 $ 1,784,436 2,391,257 2,205,348 3,832,798 1,906,223 1,596,261 1,397,978 954,743 1,969,869 1,882,799 812,482 587,638 308,477 748,601 615,813 356,227 820,438 781,360 577,189 $ 10,044,736 $ 9,653,559 $ 11,076,998 June 28, 2020 June 30, 2019 June 24, 2018 (in thousands) $ 930,970 $ 933,054 $ 784,469 74,103 40,318 8,643 6,261 5,793 5,411 72,928 28,200 5,542 6,844 5,750 6,759 73,336 24,312 3,715 5,466 3,327 7,922 $ 1,071,499 $ 1,059,077 $ 902,547 In fiscal year 2020, four customers accounted for approximately 24%, 14%, 10%, and 10% of total revenues, respectively. In fiscal year 2019, four customers accounted for approximately 15%, 14%, 14%, and 14% of total revenues, respectively. In fiscal year 2018, five customers accounted for approximately 25%, 14%, 14%, 13%, and 12% of total revenues, respectively. No other customers accounted for more than 10% of total revenues. 84 To the Stockholders and the Board of Directors of Lam Research Corporation Report of Independent Registered Public Accounting Firm Opinion on the Financial Statements We have audited the accompanying consolidated balance sheets of Lam Research Corporation (the “Company“) as of June 28, 2020 and June 30, 2019, the related consolidated statements of operations, comprehensive income, cash flows, and stockholders‘ equity, for each of the three years in the period ended June 28, 2020, and the related notes (collectively referred to as the “consolidated financial statements“). In our opinion, the consolidated financial statements present fairly, in all material respects, the financial position of the Company as of June 28, 2020 and June 30, 2019, and the results of its operations and its cash flows for each of the three years in the period ended June 28, 2020, in conformity with U.S. generally accepted accounting principles. We also have audited, in accordance with the standards of the Public Company Accounting Oversight Board (United States) (PCAOB), the Company’s internal control over financial reporting as of June 28, 2020, based on criteria established in Internal Control-Integrated Framework issued by the Committee of Sponsoring Organizations of the Treadway Commission (2013 framework) and our report dated August 18, 2020 expressed an unqualified opinion thereon. Adoption of New Accounting Standard As discussed in Note 2 and 3 to the consolidated financial statements, the Company changed its method of accounting for revenue from contracts with customers in the year ended June 30, 2019 due to the adoption of ASU No. 2014-09, Revenue from Contracts with Customers, as amended. Basis for Opinion These financial statements are the responsibility of the Company‘s management. Our responsibility is to express an opinion on the Company‘s financial statements based on our audits. We are a public accounting firm registered with the PCAOB and are required to be independent with respect to the Company in accordance with the U.S. federal securities laws and the applicable rules and regulations of the Securities and Exchange Commission and the PCAOB. We conducted our audits in accordance with the standards of the PCAOB. Those standards require that we plan and perform the audit to obtain reasonable assurance about whether the financial statements are free of material misstatement, whether due to error or fraud. Our audits included performing procedures to assess the risks of material misstatement of the financial statements, whether due to error or fraud, and performing procedures that respond to those risks. Such procedures include examining, on a test basis, evidence regarding the amounts and disclosures in the financial statements. Our audits also included evaluating the accounting principles used and significant estimates made by management, as well as evaluating the overall presentation of the financial statements. We believe that our audits provide a reasonable basis for our opinion. Critical Audit Matter The critical audit matter communicated below is a matter arising from the current period audit of the financial statements that was communicated or required to be communicated to the audit committee and that: (1) relates to accounts or disclosures that are material to the financial statements and (2) involved our especially challenging, subjective, or complex judgments. The communication of the critical audit matter does not alter in any way our opinion on the consolidated financial statements, taken as a whole, and we are not, by communicating the critical audit matter below, providing a separate opinion on the critical audit matter or on the accounts or disclosure to which it relates. Continues on next page (cid:2) Lam Research Corporation 2020 10-K 85 Inventory—Valuation Description of the Matter The Company’s inventories totaled $1.9 billion as of June 28, 2020, representing 13% of total assets. As explained in Note 2 to the consolidated financial statements, the Company assesses the valuation of all inventories including manufacturing raw materials, work-in-process, finished goods, and spare parts in each reporting period. Obsolete inventory or inventory in excess of management’s estimated usage requirement is written down to its estimated net realizable value if less than cost. Auditing management’s estimates for excess and obsolete inventory involved subjective auditor judgment because management’s assessment of whether a write down is required and the measurement of any excess of cost over net realizable value is judgmental and considers a number of qualitative factors that are affected by market and economic conditions outside the Company’s control. How We Addressed the Matter in Our Audit We evaluated and tested the Company’s processes and the design and operating effectiveness of internal controls addressing the identified audit risks. This included controls over management’s assessment of inventory valuation, including the development of forecasted usage of inventories and consideration of how factors outside of the Company’s control might affect management’s judgment related to the valuation of excess and obsolete inventory. Our audit procedures included, among others, evaluating the significant assumptions (e.g., forecasts related to the Company’s future manufacturing schedules, customer demand, technological and/or market obsolescence, and possible alternative uses) and the underlying data used in management’s excess and obsolete inventory valuation assessment. We evaluated inventory levels compared to forecasted demand, historical sales and specific product considerations. We also assessed the historical accuracy of management’s estimates. /s/ Ernst & Young LLP We have served as the Company’s auditor since 1981. San Jose, California August 18, 2020 86 Report of Independent Registered Public Accounting Firm To the Stockholders and the Board of Directors of Lam Research Corporation Opinion on Internal Control over Financial Reporting We have audited Lam Research Corporation’s internal control over financial reporting as of June 28, 2020, based on criteria established in Internal Control—Integrated Framework issued by the Committee of Sponsoring Organizations of the Treadway Commission (2013 framework) (the COSO criteria). In our opinion, Lam Research Corporation (the Company) maintained, in all material respects, effective internal control over financial reporting as of June 28, 2020, based on the COSO criteria. We also have audited, in accordance with the standards of the Public Company Accounting Oversight Board (United States) (PCAOB), the consolidated balance sheets of the Company as of June 28, 2020 and June 30, 2019, the related consolidated statements of operations, comprehensive income, cash flows, and stockholders‘ equity, for each of the three years in the period ended June 28, 2020, and the related notes and our report dated August 18, 2020 expressed an unqualified opinion thereon. Basis for Opinion The Company’s management is responsible for maintaining effective internal control over financial reporting and for its assessment of the effectiveness of internal control over financial reporting included in the accompanying Management’s Report on Internal Control over Financial Reporting. Our responsibility is to express an opinion on the Company’s internal control over financial reporting based on our audit. We are a public accounting firm registered with the PCAOB and are required to be independent with respect to the Company in accordance with the U.S. federal securities laws and the applicable rules and regulations of the Securities and Exchange Commission and the PCAOB. We conducted our audit in accordance with the standards of the PCAOB. Those standards require that we plan and perform the audit to obtain reasonable assurance about whether effective internal control over financial reporting was maintained in all material respects. Our audit included obtaining an understanding of internal control over financial reporting, assessing the risk that a material weakness exists, testing and evaluating the design and operating effectiveness of internal control based on the assessed risk, and performing such other procedures as we considered necessary in the circumstances. We believe that our audit provides a reasonable basis for our opinion. Definition and Limitations of Internal Control Over Financial Reporting A company’s internal control over financial reporting is a process designed to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles. A company’s internal control over financial reporting includes those policies and procedures that (1) pertain to the maintenance of records that, in reasonable detail, accurately and fairly reflect the transactions and dispositions of the assets of the company; (2) provide reasonable assurance that transactions are recorded as necessary to permit preparation of financial statements in accordance with generally accepted accounting principles, and that receipts and expenditures of the company are being made only in accordance with authorizations of management and directors of the company; and (3) provide reasonable assurance regarding prevention or timely detection of unauthorized acquisition, use, or disposition of the company’s assets that could have a material effect on the financial statements. Because of its inherent limitations, internal control over financial reporting may not prevent or detect misstatements. Also, projections of any evaluation of effectiveness to future periods are subject to the risk that controls may become inadequate because of changes in conditions, or that the degree of compliance with the policies or procedures may deteriorate. /s/ Ernst & Young LLP San Jose, California August 18, 2020 Continues on next page (cid:2) Lam Research Corporation 2020 10-K 87 Item 9. None. Changes in and Disagreements with Accountants on Accounting and Financial Disclosure Item 9A. Controls and Procedures Design of Disclosure Controls and Procedures and Internal Control over Financial Reporting We maintain disclosure controls and procedures and internal control over final reporting that are designed to comply with Rule 13a-15 of the Exchange Act. In designing and evaluating the controls and procedures associated with each, management recognizes that any controls and procedures, no matter how well designed and operated, can provide only reasonable assurance of achieving the desired control objectives and that the effectiveness of controls cannot be absolute because the cost to design and implement a control to identify errors or mitigate the risk of errors occurring should not outweigh the potential loss caused by the errors that would likely be detected by the control. Moreover, we believe that a control system cannot be guaranteed to be 100% effective all of the time. Accordingly, a control system, no matter how well designed and operated, can provide only reasonable, not absolute, assurance that the control system’s objectives will be met. Disclosure Controls and Procedures As required by Rule 13a-15(b) under the Securities Exchange Act of 1934, as amended (the “Exchange Act”), as of June 28, 2020, we carried out an evaluation, under the supervision and with the participation of our management, including our Chief Executive Officer and our Chief Financial Officer, of the effectiveness of the design and operation of our disclosure controls and procedures as defined in Rule 13a-15(e). Based upon that evaluation, our Chief Executive Officer and our Chief Financial Officer each concluded that our disclosure controls and procedures are effective, as of June 28, 2020, at the reasonable assurance level. We intend to review and evaluate the design and effectiveness of our disclosure controls and procedures on an ongoing basis and to correct any material deficiencies that we may discover. Our goal is to ensure that our senior management has timely access to material information that could affect our business. In response to the COVID-19 pandemic a significant number of our employees are working remotely. The design of our business processes and internal controls enabled remote execution through secure remote access to data. While certain of our business processes required slight modification as a result of the need for remote work, those changes did not result in the need for significant adjustments to our internal control structure. Changes in Internal Control over Financial Reporting There has been no change in our internal control over financial reporting during our most recent fiscal quarter that has materially affected, or is reasonably likely to materially affect, our internal control over financial reporting. Management’s Report on Internal Control over Financial Reporting Management is responsible for establishing and maintaining adequate “internal control over financial reporting”, as that term is defined in Exchange Act Rules 13a-15(f) and 15d-15(f). Management conducted an evaluation of the effectiveness of internal control over financial reporting based on the framework in Internal Controls — Integrated Framework used by the Committee of Sponsoring Organizations of the Treadway Commission (2013 Framework). Based on that evaluation, management has concluded that the Company’s internal control over financial reporting was effective as of June 28, 2020, at providing reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with GAAP. Ernst & Young LLP, an independent registered public accounting firm, audited the financial statements included in this 2020 Form 10-K and has issued an attestation report on the Company’s internal control over financial reporting, as stated in their report, which is included in Part II, Item 8 of this 2020 Form 10-K. Effectiveness of Controls While we believe the present design of our disclosure controls and procedures and internal control over financial reporting is effective at the reasonable assurance level, future events affecting our business may cause us to modify our disclosure controls and procedures or internal controls over financial reporting. Item 9B. Other Information None. 88 PART III We have omitted from this 2020 Form 10-K certain information required by Part III because we, as the Registrant, will file a definitive proxy statement with the SEC within 120 days after the end of our fiscal year, pursuant to Regulation 14A, as promulgated by the SEC, for our Annual Meeting of Stockholders expected to be held on or about November 3, 2020, (the “Proxy Statement”), and certain information included in the Proxy Statement is incorporated into this report by reference. Item 10. Directors, Executive Officers and Corporate Governance For information regarding our executive officers, see Part I, Item 1 of this 2020 Form 10-K under the caption “Information about our Executive Officers,” which information is incorporated into Part III by reference. The information concerning our directors required by this Item is incorporated by reference to our Proxy Statement under the heading “Voting Proposals — Proposal No. 1: Election of Directors — 2020 Nominees for Director.” The information concerning our audit committee and audit committee financial experts required by this Item is incorporated by reference to our Proxy Statement under the heading “Governance Matters — Corporate Governance — Board Committees” and “Governance Matters — Corporate Governance — Board Committees — Audit Committee.” The information concerning compliance by our officers, directors and 10% stockholders with Section 16 of the Exchange Act required by this Item is incorporated by reference to our Proxy Statement under the heading “Stock Ownership — Delinquent Section 16(a) Reports.” The Company has adopted a Corporate Code of Ethics that applies to all employees, officers, and directors of the Company. Our Code of Ethics is publicly available on the Investor Relations page of our website at http://investor.lamresearch.com. To the extent required by law, any amendments to, or waivers from, any provision of the Code of Ethics will promptly be disclosed to the public. To the extent permitted by applicable legal requirements, we intend to make any required public disclosure by posting the relevant material on our website in accordance with SEC rules. Item 11. Executive Compensation The information required by this Item is incorporated by reference to our Proxy Statement under the heading “Compensation Matters — Executive Compensation and Other Information,” “Compensation Matters — CEO Pay Ratio,” and “Governance Matters — Director Compensation.” Item 12. Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters The information required by this Item is incorporated by reference to our Proxy Statement under the headings “Stock Ownership — Security Ownership of Certain Beneficial Owners and Management” and “Compensation Matters — Securities Authorized for Issuance Under Equity Compensation Plans.” Item 13. Certain Relationships and Related Transactions, and Director Independence The information required by this Item is incorporated by reference to our Proxy Statement under the headings “Audit Matters — Certain Relationships and Related Party Transactions” and “Governance Matters — Corporate Governance — Director Independence Policies.” Item 14. Principal Accounting Fees and Services The information required by this Item is incorporated by reference to our Proxy Statement under the heading “Audit Matters — Relationship with Independent Registered Public Accounting Firm –– Fees Billed by Ernst & Young LLP” and “Audit Matters –– Relationship with Independent Registered Public Accounting Firm –– Policy on Audit Committee Pre-Approval of Audit and Non-Audit Services.” Continues on next page (cid:2) Lam Research Corporation 2020 10-K 89 Item 15. Exhibits, Financial Statement Schedules (a) The following documents are filed as part of this Annual Report on Form 10-K. PART IV 1. Index to Financial Statements Consolidated Statements of Operations — Years Ended June 28, 2020, June 30, 2019, and June 24, 2018 Consolidated Statements of Comprehensive Income — Years Ended June 28, 2020, June 30, 2019, and June 24, 2018 Consolidated Balance Sheets — June 28, 2020, and June 30, 2019 Consolidated Statements of Cash Flows — Years Ended June 28, 2020, June 30, 2019, and June 24, 2018 Consolidated Statements of Stockholders’ Equity — Years Ended June 28, 2020, June 30, 2019, and June 24, 2018 Notes to Consolidated Financial Statements Reports of Independent Registered Public Accounting Firm 2. Index to Financial Statement Schedules Schedules have been omitted since they are not applicable, not required, not material, or the information is included elsewhere herein. Page 45 46 47 48 50 51 85 90 LAM RESEARCH CORPORATION ANNUAL REPORT ON FORM 10-K FOR THE FISCAL YEAR ENDED JUNE 28, 2020 EXHIBIT INDEX Description Restated Certificate of Incorporation of the Registrant, (including Certificate and Designation, Preferences and Rights of Series A Junior Participating Preferred Stock), dated November 22, 2016 which is incorporated by reference to Exhibit 3.1 to the Registrant’s Quarterly Report on Form 10-Q filed on January 30, 2017 (SEC File No. 000-12933). Bylaws of the Registrant, as amended and restated, dated May 12, 2020 which is incorporated by reference to Exhibit 3.2 to the Registrant’s Current Report on Form 8-K filed on May 18, 2020 (SEC File No. 000-12933). Indenture between Novellus Systems, Inc. as Issuer and The Bank of New York Mellon Trust Company, N.A. as Trustee, dated as of May 10, 2011, including the form of 2.625% Senior Convertible Notes due 2041 which is incorporated by reference to Exhibit 4.1 to Novellus’ Current Report on Form 8-K filed on May 10, 2011 (SEC File No. 000-17157). Supplemental Indenture among the Registrant, as Guarantor, Novellus Systems, Inc. as Issuer and The Bank of New York Mellon Trust Company, N.A. as Trustee, dated as of June 4, 2012 which is incorporated by reference to Exhibit 4.2 to the Registrant’s Current Report on Form 8-K filed on June 4, 2012 (SEC File No. 000-12933). Indenture (including Form of Notes), dated as of February 13, 2015, between Registrant and The Bank of New York Mellon Trust Company, N.A. which is incorporated by reference to Exhibit 4.1 to the Registrant’s Registration Statement on Form S-3 filed on February 13, 2015 (SEC File No. 333-202110). First Supplemental Indenture, dated as of March 12, 2015, by and between Lam Research Corporation and The Bank of New York Mellon Trust Company, N.A., as trustee which is incorporated by reference to Exhibit 4.2 to the Registrant’s Current Report on Form 8-K filed on March 12, 2015 (SEC File No. 000-12933). Second Supplemental Indenture, dated as of June 7, 2016, by and between Lam Research Corporation and The Bank of New York Mellon Trust Company, N.A., as trustee which is incorporated by reference to Exhibit 4.2 to the Registrant’s Current Report on Form 8-K filed on June 7, 2016 (SEC File No. 000-12933). Third Supplemental Indenture, dated as of March 4, 2019 by and between Lam Research Corporation and the Bank of New York Mellon Trust Company, N.A. as trustee which is incorporated by reference to Exhibit 4.2 to the Registrant’s Current Report on Form 8-K filed on March 4, 2019 (SEC File No. 000-12933). Fourth Supplemental Indenture, dated as of May 5, 2020 by and between Lam Research Corporation and the Bank of New York Mellon Trust Company, N.A. as trustee which is incorporated by reference to Exhibit 4.2 to the Registrant’s Current Report on Form 8-K filed on May 5, 2020 (SEC File No. 000-12933). Description of Common Stock Form of Indemnification Agreement which is incorporated by reference to the Registrant’s Quarterly Report on Form 10-Q for the quarter ended April 3, 1988 (SEC File No. 000-12933). Form of Indemnification Agreement which is incorporated by reference to Exhibit 10.148 to the Registrant’s Current Report on Form 8-K filed on November 13, 2008 (SEC File No. 000-12933). Form of Indemnification Agreement which is incorporated by reference to Exhibit 10.1 to the Registrant’s Current Report on Form 8-K filed on June 4, 2012 (SEC File No. 000-12933). Form of Novellus Directors and Officers Indemnification Agreement which is incorporated by reference to Exhibit 10.1 to Novellus’ Current Report on Form 10-Q filed on August 13, 2002 (SEC File No. 000-17157). Novellus Amended Executive Voluntary Deferred Compensation Plan, as amended which is incorporated by reference to Exhibit 10.28 to Novellus’ Quarterly Report on Form 10-Q filed on November 5, 2008 (SEC File No. 000-17157). Novellus Accelerated Stock Vesting Retirement Plan Summary which is incorporated by reference to Exhibit 10.30 to Novellus’ Quarterly Report on Form 10-Q filed on November 2, 2010 (SEC File No. 000-17157). Continues on next page (cid:2) Lam Research Corporation 2020 10-K 91 Exhibit 3.1 3.2 4.1 4.2 4.3 4.4 4.5 4.6 4.7 4.8 10.1* 10.2* 10.3* 10.4* 10.5* 10.6* Exhibit 10.7* 10.8* 10.9* 10.10* 10.11* 10.12* 10.13* 10.14* 10.15* 10.16 10.17* 10.18* 10.19* 10.20* 10.21* 10.22* 10.23* 92 Description Novellus Systems, Inc. 2011 Stock Incentive Plan, as amended July 18, 2012 which is incorporated by reference to Exhibit 10.172 to the Registrant’s Annual Report on Form 10-K filed on August 22, 2012 (SEC File No. 000-12933). Form of Nonstatutory Stock Option Award Agreement (U.S. Participants) — Lam Research Corporation 2007 Stock Incentive Plan which is incorporated by reference to Exhibit 10.3 to the Registrant’s Quarterly Report on Form 10-Q filed on February 6, 2014 (SEC File No. 000-12933). Form of Nonstatutory Stock Option Award Agreement (International Participants) — Lam Research Corporation 2007 Stock Incentive Plan which is incorporated by reference to Exhibit 10.4 to the Registrant’s Quarterly Report on Form 10-Q filed on February 6, 2014 (SEC File No. 000-12933). Form of Nonstatutory Stock Option Award Agreement (U.S. Participants) — Lam Research Corporation (Novellus Systems, Inc.) 2011 Stock Incentive Plan (As Amended) which is incorporated by reference to Exhibit 10.9 to the Registrant’s Quarterly Report on Form 10-Q filed on February 6, 2014 (SEC File No. 000-12933). Form of Nonstatutory Stock Option Award Agreement (International Participants) — Lam Research Corporation (Novellus Systems, Inc.) 2011 Stock Incentive Plan (As Amended) which is incorporated by reference to Exhibit 10.11 to the Registrant’s Quarterly Report on Form 10-Q filed on February 6, 2014 (SEC File No. 000-12933). Employment Agreement with Timothy M. Archer, dated January 2, 2018 which is incorporated by reference to Exhibit 10.2 to the Registrant’s Current Report on Form 8-K filed on January 8, 2018 (SEC File No. 000-12933). Employment Agreement with Douglas R. Bettinger, dated January 2, 2018 which is incorporated by reference to Exhibit 10.3 to the Registrant’s Current Report on Form 8-K filed on January 8, 2018 (SEC File No. 000-12933). Employment Agreement with Richard A. Gottscho, dated January 2, 2018 which is incorporated by reference to Exhibit 10.4 to the Registrant’s Current Report on Form 8-K filed on January 8, 2018 (SEC File No. 000-12933). Form of Change in Control Agreement which is incorporated by reference to Exhibit 10.5 to the Registrant’s Current Report on Form 8-K filed on January 8, 2018 (SEC File No. 000-12933). Form of Confidentiality Agreement which is incorporated by reference to Exhibit 10.7 to the Registrant’s Quarterly Report on Form 10-Q filed on February 3, 2015 (SEC File No. 000-12933). Form of Restricted Stock Unit Award Agreement (U.S. Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.244 to the Registrant’s Current Report on Form 8-K filed on November 5, 2015 (SEC File No. 000-12933). Form of Restricted Stock Unit Award Agreement (International Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.245 to the Registrant’s Current Report on Form 8-K filed on November 5, 2015 (SEC File No. 000-12933). Form of Restricted Stock Unit Award Agreement (Outside Directors) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.246 to the Registrant’s Current Report on Form 8-K filed on November 5, 2015 (SEC File No. 000-12933). Form of Option Award Agreement (U.S. Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.247 to the Registrant’s Current Report on Form 8-K filed on November 5, 2015 (SEC File No. 000-12933). Form of Option Award Agreement (International Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.248 to the Registrant’s Current Report on Form 8-K filed on November 5, 2015 (SEC File No. 000-12933). Form of Market-Based Performance Restricted Stock Unit Award Agreement (U.S. Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.249 to the Registrant’s Current Report on Form 8-K filed on November 5, 2015 (SEC File No. 000-12933). Form of Market-Based Performance Restricted Stock Unit Award Agreement (International Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.250 to the Registrant’s Current Report on Form 8-K filed on November 5, 2015 (SEC File No. 000-12933). Exhibit 10.24 10.25 10.26* 10.27* 10.28* 10.29 10.30 10.31* 10.32* 10.33* 10.34* 10.35 10.36* 10.37* 10.38* 10.39* 10.40* Description Amendment and Restatement Agreement, dated November 10, 2015 among Lam Research Corporation, JPMorgan Chase Bank, N.A., as administrative agent, and the other agents and lenders listed therein, and all exhibits and schedules attached thereto which is incorporated by reference to Exhibit 10.1 to the Registrant’s Current Report on Form 8-K filed on November 12, 2015 (SEC File No. 000-12933). Amendment No. 1 to the Amended and Restated Credit Agreement, dated April 26, 2016 among Lam Research Corporation, JPMorgan Chase Bank, N.A., as administrative agent, and the other agents and lenders listed therein, and all exhibits and schedules attached thereto which is incorporated by reference to Exhibit 10.254 to the Registrant’s Annual Report on Form 10-K filed on August 17, 2016 (SEC File No. 000-12933). Form of Market-Based Performance Restricted Stock Unit Award Agreement (U.S. Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.1 to the Registrant’s Quarterly Report on Form 10-Q filed on October 25, 2016 (SEC File No. 000-12933). Form of Market-Based Performance Restricted Stock Unit Award Agreement (International Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.2 to the Registrant’s Quarterly Report on Form 10-Q filed on October 25, 2016 (SEC File No. 000-12933). Form of Indemnification Agreement which is incorporated by reference to Exhibit 10.1 to the Registrant’s Quarterly Report on Form 10-Q filed on April 24, 2017 (SEC File No. 000-12933). Amendment No. 2 to Amended and Restated Credit Agreement dated October 13, 2017, among Lam Research Corporation, as borrower, the lenders party thereto and JPMorgan Chase Bank, N.A., as administrative agent which is incorporated by reference to Exhibit 10.1 to the Registrant’s Current Report on Form 8-K filed on October 17, 2017 (SEC File No. 000-12933). Form of Commercial Paper Dealer Agreement 4(a)(2) Program between Lam Research Corporation, as issuer, and the dealer which is incorporated by reference to Exhibit 10.1 to the Registrant’s Current Report on Form 8-K filed on November 14, 2017 (SEC File No. 000-12933). Amendment to Employment Agreement with Timothy M. Archer, dated March 16, 2018 which is incorporated by reference to Exhibit 10.49 to the Registrant’s Annual Report on Form 10-K filed on August 14, 2018 (SEC File No. 000-12933). Lam Research Corporation 2007 Stock Incentive Plan, as amended, which is incorporated by reference to Exhibit 4.15 to the Registrant’s Annual Report on Form 10-K filed on August 27, 2013 (SEC File No. 000-12933). Lam Research Corporation Elective Deferred Compensation Plan which is incorporated by reference to Exhibit 4.16 to the Registrant’s Annual Report on Form 10-K filed on August 19, 2011 (SEC File No. 000-12933) Lam Research Corporation Elective Deferred Compensation Plan II which is incorporated by reference to Exhibit 4.17 to the Registrant’s Annual Report on Form 10-K filed on August 19, 2011 (SEC File No. 000-12933) Lam Research Corporation 1999 Employee Stock Purchase Plan, as amended which is incorporated by reference to Exhibit 4.20 to the Registrant’s Quarterly Report on Form 10-Q filed on January 31, 2013 (SEC File No. 000-12933). 2004 Executive Incentive Plan, as Amended and Restated which is incorporated by reference to Exhibit 4.23 to the Registrant’s Current Report on Form 8-K filed on November 5, 2015 (SEC File No. 000-12933). 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 4.24 to the Registrant’s Current Report on Form 8-K filed on November 5, 2015 (SEC File No. 000-12933). Form of Market-Based-Based Performance Restricted Stock Unit Award Agreement (U.S. Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.1 to the Registrant’s Quarterly Report on Form 10-Q filed on October 23, 2018 (SEC File No 000-12933). Form of Market-Based-Based Performance Restricted Stock Unit Award Agreement (International Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.2 to the Registrant’s Quarterly Report on Form 10-Q filed on October 23, 2018 (SEC File No 000-12933). Amendment to Employment Agreement with Douglas R. Bettinger, dated November 30, 2018 which is incorporated by reference to Exhibit 10.1 to the Registrant’s Current Report on Form 8-K filed on December 3, 2018 (SEC File No. 000-12933). Continues on next page (cid:2) Lam Research Corporation 2020 10-K 93 Exhibit 10.41 10.42* 10.43* 10.44* 10.45* 10.46* 10.47* 10.48* 10.49* 21 23 24 31.1 31.2 32.1 32.2 101.INS 101.SCH 101.CAL 101.DEF 101.LAB 101.PRE 104 Description Amendment No. 3 to Amended and Restated Credit Agreement, dated February 25, 2019, among Lam Research Corporation, as borrower, the lenders party thereto and JPMorgan Chase Bank, N.A., as administrative agent which is incorporated by reference to Exhibit 10.1 to the Registrant’s Current Report on Form 8-K filed on February 25, 2019 (SEC File No. 000-12933). Form of Restricted Stock Unit Agreement (U.S. Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.1 to the Registrant’s Quarterly Report on Form 10-Q filed on April 30, 2019 (SEC File No. 000-12933). Form of Restricted Stock Unit Agreement (International Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.2 to the Registrant’s Quarterly Report on Form 10-Q filed on April 30, 2019 (SEC File No. 000-12933). Form of Restricted Stock Unit Agreement (Outside Directors) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.3 to the Registrant’s Quarterly Report on Form 10-Q filed on April 30, 2019 (SEC File No. 000-12933). Form of Market-Based Performance Restricted Stock Unit Award Agreement (U.S. Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.4 to the Registrant’s Quarterly Report on Form 10-Q filed on April 30, 2019 (SEC File No. 000-12933). Form of Market-Based Performance Restricted Stock Unit Award Agreement (International Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.5 to the Registrant’s Quarterly Report on Form 10-Q filed on April 30, 2019 (SEC File No. 000-12933). Amendment to Employment Agreement with Timothy M. Archer dated August 8, 2019 which is incorporated by reference to Exhibit 10.1 to the Registrant’s Current Report on Form 8-K filed on August 14, 2019 (SEC File No. 000-12933). Form of Market-Based Performance Restricted Stock Unit Award Agreement (U.S. Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.1 to the Registrant’s Quarterly Report on Form 10-Q filed on April 28, 2020 (SEC File No. 000-12933). Form of Market-Based Performance Restricted Stock Unit Award Agreement (International Participants) — 2015 Stock Incentive Plan which is incorporated by reference to Exhibit 10.2 to the Registrant’s Quarterly Report on Form 10-Q filed on April 28, 2020 (SEC File No. 000-12933). Subsidiaries of the Registrant. Consent of Independent Registered Public Accounting Firm. Power of Attorney (See Signature page) Rule 13a — 14(a) / 15d — 14(a) Certification (Principal Executive Officer) Rule 13a — 14(a) / 15d — 14(a) Certification (Principal Financial Officer) Section 1350 Certification — (Principal Executive Officer) Section 1350 Certification — (Principal Financial Officer) Inline XBRL Instance Document — the instance document does not appear in the Interactive Data File because its XBRL tags are embedded within the Inline XBRL document. Inline XBRL Taxonomy Extension Schema Document Inline XBRL Taxonomy Extension Calculation Linkbase Document Inline XBRL Taxonomy Extension Definition Linkbase Document Inline XBRL Taxonomy Extension Label Linkbase Document Inline XBRL Taxonomy Extension Presentation Linkbase Document Cover Page Interactive Data File (formatted as Inline XBRL and contained in Exhibit 101) * Indicates management contract or compensatory plan or arrangement. 94 Pursuant to the requirements of Section 13 or 15(d) of the Securities Exchange Act of 1934, as amended, the Registrant has duly caused this Report to be signed on its behalf by the undersigned, thereunto duly authorized. SIGNATURES Date: August 18, 2020 LAM RESEARCH CORPORATION (Registrant) By: /s/ Timothy M. Archer Timothy M. Archer President and Chief Executive Officer Continues on next page (cid:2) Lam Research Corporation 2020 10-K 95 POWER OF ATTORNEY AND SIGNATURES By signing this Annual Report on Form 10-K below, I hereby appoint each of Timothy M. Archer and Douglas R. Bettinger, jointly and severally, as my attorney-in-fact to sign all amendments to this Form 10-K on my behalf and to file this Form 10-K (including all exhibits and other related documents) with the Securities and Exchange Commission. I authorize each of my attorneys-in-fact to (1) appoint a substitute attorney-in-fact for himself and (2) perform any actions that he believes are necessary or appropriate to carry out the intention and purpose of this Power of Attorney. I ratify and confirm all lawful actions taken directly or indirectly by my attorneys-in-fact and by any properly appointed substitute attorneys-in-fact. Pursuant to the requirements of the Securities Exchange Act of 1934, as amended, this Report has been signed below by the following persons on behalf of the Registrant and in the capacities and on the dates indicated. Signatures Principal Executive Officer /s/ Timothy M. Archer Timothy M. Archer Principal Financial Officer and Principal Accounting Officer /s/ Douglas R. Bettinger Douglas R. Bettinger Other Directors Title Date President, Chief Executive Officer and Director August 18, 2020 Executive Vice President, Chief Financial Officer, and Chief Accounting Officer August 18, 2020 Signatures Title Date Signatures Title Date /s/ Abhijit Y. Talwalkar Abhijit Y. Talwalkar /s/ Sohail U. Ahmed Sohail U. Ahmed /s/ Eric K. Brandt Eric K. Brandt /s/ Michael R. Cannon Michael R. Cannon /s/ Youssef A. El-Mansy Youssef A. El-Mansy Chairman August 18, 2020 Director August 18, 2020 /s/ Catherine P. Lego Catherine P. Lego /s/ Bethany J. Mayer Bethany J. Mayer Director August 18, 2020 Director August 18, 2020 Director August 18, 2020 /s/ Lih Shyng Tsai Director August 18, 2020 Lih Shyng (Rick L.) Tsai /s/ Leslie F. Varon Leslie F. Varon Director August 18, 2020 Director August 18, 2020 Director August 18, 2020 96 BOARD OF DIRECTORS EXECUTIVE OFFICERS Abhijit Y. Talwalkar Chairman Former President and Chief Executive Officer LSI Corporation Timothy M. Archer President and Chief Executive Officer Sohail U. Ahmed Former Senior Vice President and General Manager, Technology and Manufacturing Group Intel Corporation Timothy M. Archer President and Chief Executive Officer Douglas R. Bettinger Executive Vice President and Chief Financial Officer Richard A. Gottscho, Ph.D. Executive Vice President, Chief Technology Officer Ava M. Hahn Senior Vice President, Chief Legal Officer and Secretary Eric K. Brandt Former Executive Vice President and Chief Financial Officer Broadcom Corporation Patrick J. Lord, Ph.D. Executive Vice President, Customer Support Business Group and Global Operations Scott G. Meikle, Ph.D. Senior Vice President, Global Customer Operations Vahid Vahedi, Ph.D. Senior Vice President and General Manager, Etch Business Unit Seshasayee (Sesha) Varadarajan Senior Vice President and General Manager, Deposition Business Unit Michael R. Cannon General Partner MRC & LBC Partners, LLC Retired President of Global Operations Dell Inc. Youssef A. El-Mansy, Ph.D. Retired Vice President, Director of Logic Technology Development Intel Corporation Catherine P. Lego Founder Lego Ventures, LLC Bethany J. Mayer Executive Partner Siris Capital Group LLC Lih Shyng (Rick L.) Tsai, Ph.D. Chief Executive Officer and Director MediaTek Inc. Leslie F. Varon Former Chief Financial Officer Xerox Corporation As of September 8, 2020 © 2020 Lam Research Corporation All rights reserved. 202009-01808/5K Lam Research Corporation 4650 Cushing Parkway Fremont, California 94538 Phone: 1-510-572-0200 www.lamresearch.com
Continue reading text version or see original annual report in PDF format above