More annual reports from Synopsys:
2023 ReportPeers and competitors of Synopsys:
Reckon LimitedTable of Contents UNITED STATES SECURITIES AND EXCHANGE COMMISSION Washington, D.C. 20549 (Mark One) FORM 10-K ANNUAL REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 For the fiscal year ended October 31, 2020 OR TRANSITION REPORT PURSUANT TO SECTION 13 OR 15(d) OF THE SECURITIES EXCHANGE ACT OF 1934 For the transition period from to Commission File Number 0-19807 SYNOPSYS, INC. (Exact name of registrant as specified in its charter) Delaware (State or other jurisdiction of incorporation or organization) 690 East Middlefield Road, Mountain View, California (Address of principal executive offices) 56-1546236 (I.R.S. Employer Identification No.) 94043 (Zip Code) (650) 584-5000 (Registrant’s telephone number, including area code) Securities Registered Pursuant to Section 12(b) of the Act: Title of Each Class Trading Symbol(s) Name of Each Exchange on Which Registered Common Stock, $0.01 par value SNPS Nasdaq Global Select Market Securities Registered Pursuant to Section 12(g) of the Act: None Indicate by check mark if the registrant is a well-known seasoned issuer, as defined in Rule 405 of the Securities Act. Yes No Indicate by check mark if the registrant is not required to file reports pursuant to Section 13 or Section 15(d) of the Act. Yes Indicate by check mark whether the registrant (1) has filed all reports required to be filed by Section 13 or 15(d) of the Securities Exchange Act of 1934 during the preceding 12 months (or for such shorter period that the registrant was required to file such reports), and (2) has been subject to No such filing requirements for the past 90 days. Yes Indicate by check mark whether the registrant has submitted electronically every Interactive Data File required to be submitted pursuant to Rule 405 of Regulation S-T (§ 232.405 of this chapter) during the preceding 12 months (or for such shorter period that the registrant was required to No submit such files). Yes Indicate by check mark whether the registrant is a large accelerated filer, an accelerated filer, a non-accelerated filer, a smaller reporting company, or an emerging growth company. See the definitions of “large accelerated filer,” “accelerated filer,” “smaller reporting company,” and "emerging growth company" in Rule 12b-2 of the Exchange Act. No Table of Contents Large accelerated filer Non-accelerated filer Accelerated Filer Smaller reporting company Emerging growth company If an emerging growth company, indicate by check mark if the registrant has elected not to use the extended transition period for complying with any new or revised financial accounting standards provided pursuant to Section 13(a) of the Exchange Act. Indicate by check mark whether the registrant has filed a report on and attestation to its management’s assessment of the effectiveness of its internal control over financial reporting under Section 404(b) of the Sarbanes-Oxley Act (15 U.S.C. 7262(b)) by the registered public accounting firm that prepared or issued its audit report. Indicate by check mark whether the registrant is a shell company (as defined in Rule 12b-2 of the Act). Yes The aggregate market value of the voting and non-voting common equity held by non-affiliates computed by reference to the price at which the common equity was last sold as of the last business day of the registrant’s most recently completed second fiscal quarter was approximately $17.7 billion. Aggregate market value excludes an aggregate of approximately 38.9 million shares of common stock held by the registrant’s executive officers and directors and by each person known by the registrant to own 5% or more of the outstanding common stock on such date. Exclusion of shares held by any of these persons should not be construed to indicate that such person possesses the power, direct or indirect, to direct or cause the direction of the management or policies of the registrant, or that such person is controlled by or under common control with the registrant. On December 10, 2020, 153,032,497 shares of the registrant’s Common Stock, $0.01 par value, were outstanding. No Portions of the registrant’s Proxy Statement relating to the registrant’s 2021 Annual Meeting of Stockholders, scheduled to be held on April 8, 2021, are incorporated by reference into Part III of this Annual Report on Form 10-K where indicated. Except as expressly incorporated by reference, the registrant’s Proxy Statement shall not be deemed to be part of this report. DOCUMENTS INCORPORATED BY REFERENCE Table of Contents SYNOPSYS, INC. ANNUAL REPORT ON FORM 10-K Fiscal year ended October 31, 2020 TABLE OF CONTENTS PART I Item 1. Item 1A. Item 1B. Item 2. Item 3. Item 4. PART II Item 5. Item 6. Item 7. Item 7A. Item 8. Item 9. Item 9A. Item 9B. PART III Item 10. Item 11. Item 12. Item 13. Business Risk Factors Unresolved Staff Comments Properties Legal Proceedings Mine Safety Disclosures Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities Selected Financial Data Management’s Discussion and Analysis of Financial Condition and Results of Operations Quantitative and Qualitative Disclosures About Market Risk Financial Statements and Supplementary Data Changes in and Disagreements with Accountants on Accounting and Financial Disclosure Controls and Procedures Other Information Directors, Executive Officers and Corporate Governance Executive Compensation Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters Certain Relationships and Related Transactions, and Director Independence Item 14. Principal Accountant Fees and Services PART IV Item 15. SIGNATURES Exhibits and Financial Statement Schedules Page No. 3 15 27 28 28 29 30 32 32 47 50 92 92 92 94 94 94 94 94 95 99 i Table of Contents Cautionary Note Regarding Forward-Looking Statements This Annual Report on Form 10-K (this Form 10-K or Annual Report) contains forward-looking statements within the meaning of Section 27A of the Securities Act of 1933, as amended (the Securities Act), Section 21E of the Securities Exchange Act of 1934, as amended (the Exchange Act), and the Private Securities Litigation Reform Act of 1995. Any statements herein that are not statements of historical fact are forward-looking statements. Words such as “may,” “will,” “could,” “would,” “can,” “should,” “anticipate,” “expect,” “intend,” “believe,” “estimate,” “project,” “continue,” “forecast,” “likely,” “potential,” “seek,” or the negatives of such terms, and similar expressions are intended to identify forward-looking statements. This Form 10-K includes, among others, forward-looking statements regarding: • • • • • • • • • • • • • • • • • • • our business, product and platform strategies; our business outlook; the potential impact of the COVID-19 pandemic on our business; the continuation of current industry trends towards customer and vendor consolidation, and the impact of such consolidation; prior and future acquisitions, including the expected benefits and risks of completed acquisitions; the impact of macroeconomic conditions and trade disruptions on our business and our customers’ businesses; demand for our products and our customers’ products; the expected realization of our backlog; customer license renewals; the completion of development of our unfinished products, or further development or integration of our existing products; technological trends in integrated circuit design; our ability to successfully compete in the markets in which we serve; our license mix, our business model, and variability in our revenue; litigation; our ability to protect our intellectual property; the impact of new and recently adopted accounting pronouncements; our cash, cash equivalents and cash generated from operations; our available-for-sale securities; and our future liquidity requirements. These statements are based on our current expectations about future events and involve certain known and unknown risks, uncertainties and other factors that could cause our actual results, time frames or achievements to differ materially from those expressed or implied in our forward-looking statements. Accordingly, we caution readers not to place undue reliance on these statements. Such risks and uncertainties include, among others, those listed in Part I, Item 1A, Risk Factors of this Form 10-K. The information included herein represents our estimates and assumptions as of the date of this filing. Unless required by law, we undertake no obligation to update publicly any forward-looking statements, or to update the reasons actual results could differ materially from those anticipated in these forward-looking statements, even if new information becomes available in the future. All subsequent written or oral forward-looking statements attributable to Synopsys, Inc. or persons acting on our behalf are expressly qualified in their entirety by these cautionary statements. Readers are urged to carefully review and consider the various disclosures made in this report and in other documents we file from time to time with the Securities and Exchange Commission (SEC) that attempt to advise interested parties of the risks and factors that may affect our business. 1 Table of Contents Fiscal Year End Our fiscal year ends on the Saturday nearest to October 31 and consists of 52 weeks, with the exception that approximately every five years, we have a 53-week year. When a 53-week year occurs, we include the additional week in the first quarter to realign fiscal quarters with calendar quarters. Fiscal 2020 and 2019 were 52-week years and ended on October 31, 2020 and November 2, 2019, respectively. Fiscal 2018 was a 53-week year and ended on November 3, 2018. Fiscal 2021 will be a 52-week year. For presentation purposes, this Form 10-K refers to the closest calendar month end. 2 Table of Contents Item 1. Business Company and Segment Overview PART I Synopsys, Inc. provides products and services used across the entire Silicon to Software™ spectrum to bring Smart Everything to life. From engineers creating advanced semiconductors to product teams developing advanced electronic systems to software developers seeking to ensure the security and quality of their code, our customers trust that our technologies will enable them to meet new requirements for low power as well as reliability, mobility, and security. We are a global leader in supplying the electronic design automation (EDA) software that engineers use to design and test integrated circuits (ICs), also known as chips. We also offer semiconductor intellectual property (IP) products, which are pre-designed circuits that engineers use as components of larger chip designs rather than designing those circuits themselves. We provide software and hardware used to validate the electronic systems that incorporate chips and the software that runs on them. To complement these offerings, we provide technical services and support to help our customers develop advanced chips and electronic systems. These products and services are part of our Semiconductor & System Design segment. We are also a leading provider of software tools and services that improve the security, quality and compliance of software in a wide variety of industries, including electronics, financial services, automotive, medicine, energy and industrials. These tools and services are part of our Software Integrity segment. Corporate Information We incorporated in 1986 in North Carolina and reincorporated in 1987 in Delaware. Our headquarters are located at 690 East Middlefield Road, Mountain View, California 94043, and our headquarters’ telephone number is (650) 584-5000. We have approximately 120 offices worldwide. Our annual and quarterly reports on Forms 10-K and 10-Q (including related filings in XBRL format), current reports on Form 8-K, and Proxy Statements relating to our annual meetings of stockholders (including any amendments to these reports, as well as filings made by our executive officers and directors) are available through the Investor Relations page of our website (www.synopsys.com) free of charge as soon as practicable after we file them with, or furnish them to, the SEC (www.sec.gov). We use our Investor Relations page as a routine channel for distribution of important information, including news releases, investor presentations, and financial information. The contents of our website are not part of this Form 10-K. Background In this era of Smart Everything, we have seen a remarkable proliferation of consumer and wireless electronic products, particularly mobile devices. The growth of the Internet and cloud computing has provided people with new ways to create, store, and share information. At the same time, the increasing use of electronics in cars, buildings, appliances, and other consumer products is creating a connected landscape of smart devices. Numerous software applications (apps) have been developed to expand the potential of these connected devices. The increasing impact of artificial intelligence and machine learning is driving an increase in the activity of new and existing chip and system design companies around the world. These developments have been fueled by innovation in the semiconductor and software industries. It is now common for a single chip to combine many components (processor, communications, memory, custom logic, input/ output) and embedded software into a single system-on-chip (SoC), necessitating highly complex chip designs. The most complex chips today contain more than a billion transistors. Transistors are the basic building blocks for ICs, each of which may have features that are less than 1/1,000th the diameter of a human hair. At such small dimensions, the wavelength of light itself can become an obstacle to production, proving too big to create such dense features and requiring creative and complicated new approaches from designers. Designers have turned to new manufacturing techniques to solve these problems, such as multiple-patterning lithography and FinFET transistors, which in turn have introduced new challenges to design and production. 3 Table of Contents The popularity of mobile devices and other electronic products has increased demand for chips and systems with greater functionality and performance, reduced size, and lower power consumption. Our customers, who design those products, are facing intense pressure to deliver innovative offerings in shorter timeframes and at lower prices. In other words, innovation in chip and system design often hinges on providing products “better,” “sooner,” and “cheaper” than competitors. The designs of these chips and systems are extremely complex and necessitate state- of-the-art design solutions. Over the past several years, market verticals including AI, 5G, automotive and cloud computing infrastructure have contributed to ongoing demand for our products and services. A similar dynamic is at work in the software arena, whether embedded on a chip or as a standalone. The pace of innovation often requires developers to deliver more secure, high-quality software, which can include millions of lines of code, in increasingly frequent release cycles. Bugs, defects, and security vulnerabilities in code can be difficult to detect and expensive to fix. But, at a time when software is critical in many industries across a growing array of smart devices, it is crucial to have high-quality, secure code to ensure consumers’ privacy and safety. Our Role—As the Silicon to Software Partner Synopsys' Silicon to Software technologies and services are designed to help our customers—chip and system engineers and software developers—to speed time to market, achieve the highest quality of results, mitigate risk, and maximize profitability. Chip and system designers must determine how best to design, locate, and connect the building blocks of chips, and to verify that the resulting design behaves as intended and can be manufactured efficiently and cost-effectively. This is a complex, multi-step process that is both expensive and time-consuming. Our wide range of products help designers at different steps in the overall design process, from the design of individual ICs to the design of larger systems. Our products increase designer productivity and efficiency by automating tasks, keeping track of large amounts of design data, adding intelligence to the design process, facilitating reuse of past designs, and reducing errors. Our IP products offer proven, high-quality pre-configured circuits that are ready to use in a chip design, saving customers time and enabling them to direct resources to features that differentiate their products. Our global service and support engineers also provide expert technical support and design assistance to our customers. Software developers are responsible for writing code that not only accomplishes their goals as efficiently as possible, but also runs securely and is free of defects. We offer products that can help developers write higher quality, more secure code by analyzing their code for quality defects and known security vulnerabilities, adding intelligence and automation to the software testing process, and helping to eliminate defects in a systematic manner. To the extent that developers make use of open source software in their code, our products can help developers better manage the composition and security of the code. Our products enable software developers to catch flaws earlier in the development cycle, when they are less costly to fix. Products and Services Semiconductor & System Design Segment Our Semiconductor & System Design segment includes the EDA, IP and System Integration and Other revenue categories. EDA Designing ICs involves many complex steps: architecture definition, register transfer level (RTL) design, functional/ RTL verification, logic design or synthesis, gate-level verification, floorplanning, place and route, and physical verification, to name just a few. Designers use our EDA products to automate the IC design process, reduce errors, and enable more powerful and robust designs. Our platforms comprehensively address the process, featuring a large number of EDA products that generally fall into the following categories: • • • Digital and custom IC design and field programmable gate array (FPGA) design, which includes software tools to design an IC; Verification, which includes technology to verify that an IC design behaves as intended; and Manufacturing, which includes products that both enable early manufacturing process development and convert IC design layouts into the masks used to manufacture the chips. 4 Table of Contents Digital and Custom IC Design Our Fusion Design Platform™ provides customers with a comprehensive digital design implementation solution that includes industry-leading products and redefines conventional design tool boundaries to deliver a more integrated flow than ever before, with better quality and time to results. The platform gives designers the flexibility to integrate internally developed tools as well as those from third parties. With innovative technologies, a common foundation, and flexibility, our Fusion Design Platform helps reduce design times, decrease uncertainties in the design steps, and minimize the risks inherent in advanced, complex IC design. The platform supports multiple technology nodes, including advanced nodes at 12nm, 10nm, 8/7nm, 6 nm, 5/4nm, and 3nm, with technology collaborations on next- generation process technologies. Key design products, available as part of the Fusion Design Platform, include Fusion Compiler™ RTL to GDSII design implementation, Design Compiler® logic synthesis, IC Compiler™ II physical design, Synopsys TestMAXTM test and diagnosis, PrimeTime® static timing analysis, StarRC™ parasitic extraction, and IC Validator physical verification. In 2020, we launched two new solutions to address some of the most pressing challenges facing the industry. 3DIC Compiler is the industry’s first next-generation chip packaging solution, aimed at enabling customers to combine or stack multiple dice on a single chip. Our new DSO.ai™ solution utilizes artificial intelligence to autonomously learn from the process of IC design and further enable design teams to more efficiently reach design targets (performance, power, and area). Our Custom Design Platform™ is a unified suite of design and verification tools that accelerates the transistor-level design of robust analog, mixed-signal, and custom-digital ICs. The platform features visually assisted layout automation, high-performance circuit simulation, reliability-aware verification, and natively integrated StarRC™ extraction and physical verification. Platform tools include HSPICE® and FineSim® SPICE circuit simulators, CustomSim™ FastSPICE, Custom Compiler layout and schematic editor, StarRC parasitic extraction, and IC Validator physical verification. Our Silicon Lifecycle Management Platform is a new data analytics-driven platform that uses on-chip monitor and sensor data to optimize all phases of the silicon lifecycle—from design and manufacturing to in-field deployment and maintenance. This platform currently includes the PrimeShield™ design robustness solution, the SiliconDash data analytics engine, Yield Explorer® design yield analysis, and process, voltage and temperature sensors, with additional capabilities to be rolled out over time. FPGA Design FPGAs are complex chips that can be customized or programmed to perform a specific function after they are manufactured. For FPGA design, we offer Synplify® (Pro® and Premier) implementation and Identify® debug software tools. Verification Our Verification Continuum® platform is built from our industry-leading and fastest verification technologies, providing virtual prototyping, static and formal verification, simulation, emulation, FPGA-based prototyping, and debug in a unified environment with verification IP, planning, and coverage technology. By providing consistent compile, runtime and debug environments across the flow of verification tasks and by enabling seamless transitions across functions, the platform helps our customers accelerate chip verification, bring up software earlier, and get to market sooner with advanced SoCs. The individual products included in the Verification Continuum platform are reported in our EDA and IP and System Integration revenue categories. The solutions reported in our EDA revenue include the following: • • • • VC SpyGlass™ family of static verification technologies including lint, CDC (clock domain crossing), RDC (reset domain crossing), Constraint Checking, Synopsys TestMAX Advisor, and low-power analysis and verification; VCS® functional verification solution, our comprehensive RTL and gate-level simulation technology, including Fine-Grained Parallelism (FGP); Verdi® automated debug system, the industry’s most comprehensive SoC debug; VC Formal™, our next-generation formal verification product; 5 Table of Contents • • ZeBu® emulation systems, which use high-performance hardware to emulate SoC designs so that designers can accelerate verification of large complex SoCs and perform earlier verification of the SoC together with software; and Other principal individual verification solutions, including CustomSim™, FastSPICE and FineSim® SPICE/FastSPICE circuit simulation and analysis products, HSPICE® circuit simulator, and CustomExplorer™ Ultra mixed-signal regression and analysis environment. The verification IP, virtual prototyping, and FPGA-based prototyping solutions that are part of our Verification Continuum platform are included in our IP and System Integration category and further described below. Manufacturing Our Manufacturing Solutions include Sentaurus™ technology computer-aided design (TCAD) device and process simulation products, Proteus™ mask synthesis tools, CATS® mask data preparation software, Yield Explorer® Odyssey, and Yield-Manager® yield management solutions. We also provide consulting and design services that address all phases of the SoC development process, as well as a broad range of expert training and workshops on our latest tools and methodologies. IP and System Integration IP Products As more functionality converges into a single device or even a single chip, and as chip designs grow more complex, the number of third-party IP blocks incorporated into designs is rapidly increasing. We provide the largest and broadest portfolio of high-quality, silicon-proven IP solutions for SoCs. Our broad DesignWare IP portfolio includes: • • • • • • • • High-quality solutions for widely used wired and wireless interfaces such as USB, PCI Express, DDR, Ethernet, SATA, MIPI, HDMI, and Bluetooth Low Energy; Logic libraries and embedded memories, including memory compilers, non-volatile memory, standard cells, and integrated test and repair; Processor solutions, including configurable ARC® processor cores, software, Embedded Vision processor cores and application-specific instruction-set processor (ASIP) tools for embedded applications; IP subsystems for audio, sensor, and data fusion functionality that combine IP blocks, an efficient processor, and software into an integrated, pre-verified subsystem; Security IP solutions, including cryptographic cores and software, security subsystems, platform security and content protection IP; An industry-leading offering of IP for the automotive market, optimized for strict functional safety and reliability standards such as ISO 26262; Analog IP including data converters and audio codecs; and SoC infrastructure IP, datapath and building block IP, mathematical and floating-point components, Arm® AMBA® interconnect fabric and peripherals, and verification IP. Our IP Accelerated initiative augments our established, broad portfolio of silicon-proven DesignWare IP with IP Prototyping Kits and customized IP subsystems to accelerate prototyping, software development, and integration of IP into SoCs. We offer a broad portfolio of IP that has been optimized to address specific application requirements for the mobile, automotive, digital home, internet of things, and cloud computing markets, enabling designers to quickly develop SoCs in these areas. Our Verification IP portfolio, part of our Verification Continuum platform, is also part of the IP Products category. System Integration Solutions 6 Table of Contents Our System Integration verification solutions include the following elements of our Verification Continuum platform: • • • HAPS® FPGA-based prototyping systems, which are integrated and scalable hardware-software solutions for early software development and faster time to market; Virtualizer™ virtual prototyping solution, which addresses the increasing development challenges associated with software-rich semiconductor and electronic products by accelerating both the development and deployment of virtual prototypes; and Platform Architect solution, which provides for early analysis and optimization of multi-core SoC architectures for performance and power. We also provide a series of tools used in the design of optical systems and photonic devices. Our CODE V® solution enables engineers to model, analyze and optimize designs for optical imaging and communication systems. Our LightTools® design and analysis software allows designers to simulate and improve the performance of a broad range of illumination systems, from vehicle lighting to projector systems. Other Our Other revenue category includes revenue from sales of products to academic and research institutions. Software Integrity Segment Our Software Integrity segment provides a comprehensive solution for building integrity—security, quality and compliance testing—into our customers’ software development lifecycle and supply chain. These testing tools, services, and programs enable our customers to manage open source license compliance and detect and remediate security vulnerabilities and defects across their entire software development lifecycle. Our offerings include security and quality testing products, managed services, programs and professional services, and training. Key offerings in the security, quality and compliance testing space include: • • • • • Polaris Software Integrity Platform™, which is designed to provide customers with an easy-to-use and integrated platform that enables organizations to intelligently orchestrate software testing or integrate Synopsys products and third-party tools into DevOps workflows. Introduced in April 2019 with its initial configuration, Polaris Software Integrity Platform™ will be enhanced throughout 2021 and beyond; Coverity® static analysis tools, which analyze software code to find crash-causing bugs, incorrect program behavior, the latest security vulnerabilities, memory leaks and other performance-degrading flaws; Black Duck™ software composition analysis tools, which scan binary and source code for license and compliance issues and other known security vulnerabilities stemming from incorporated third-party and open source code; Seeker® IAST tool, which identifies exploitable security vulnerabilities while web applications are running, thereby verifying results and eliminating false positives; and Defensics® fuzz testing tools, which examine security vulnerabilities in software binaries and libraries, particularly network protocols and file formats, by systematically sending invalid or unexpected inputs to the system under test. Managed services allow developers to test code across many dimensions, and to rapidly respond to changing testing requirements and evolving threats. This includes Mobile Application Security Testing (AST) services to find vulnerabilities in mobile applications as well as Dynamic Application Security Testing (DAST) services which identify security vulnerabilities while web applications are running, without the need for source code. Programs and professional services address unique security and quality needs with specialized consulting by skilled experts, including the Building Security in Maturity Mode (BSIMM), which measures the effectiveness of software security initiatives by assessing the current state as compared to industry benchmarks, and the Black Duck™ on 7 Table of Contents demand audit services, which provides open source compliance and software vulnerability assessments as part of the due diligence process for mergers and acquisitions. Finally, training includes eLearning and instructor-led training that prepares developers and security professionals to build security and quality into their software development process and remediate found vulnerabilities and defects. Customer Service and Technical Support A high level of customer service and support is critical to the adoption and successful use of our products. We provide technical support for our products through both field-based and corporate-based application engineering teams. Post-contract customer support includes providing frequent updates and upgrades to maintain the utility of the software due to rapid changes in technology. In our Semiconductor & System Design segment, post-contract customer support for our EDA and IP products also includes access to the SolvNet® portal, where customers can explore our complete design knowledge database. Updated daily, the SolvNet portal includes technical documentation, design tips, and answers to user questions. Customers can also engage, for additional charges, with our worldwide network of applications consultants for additional support needs. In our Software Integrity segment, post-contract customer support for our products includes access to our support community portal, where customers can access our product documentation, self-service training materials, customer forums and our product knowledge base. Customers can also raise support tickets, request replacement license keys and validate the terms of their active license keys through the portal. Our support community portal is frequently updated with new and supplemental materials on a variety of topics. Customers may engage dedicated support engineers for an additional charge. In addition, we offer training workshops designed to increase customer design proficiency and productivity with our products. Workshops cover our EDA products and methodologies used in our design and verification flows, as well as specialized modules addressing system design, logic design, physical design, simulation and testing. We offer regularly scheduled public and private courses in a variety of locations worldwide, as well as online training (live or on-demand) through our Virtual Classrooms. Product Warranties We generally warrant our products to be free from defects in media and to substantially conform to material specifications for a period of 90 days for our software products and for up to 6 months for our hardware products. In many cases, we also provide our customers with limited indemnification with respect to claims that their use of our software products infringes on United States patents, copyrights, trademarks or trade secrets. We have not experienced material warranty or indemnity claims to date. Support for Industry Standards We actively create and support standards that help our EDA and IP customers increase productivity, facilitate efficient design flows, improve interoperability of tools from different vendors, and ensure connectivity, functionality and interoperability of IP building blocks. Standards in the electronic design industry can be established by formal accredited organizations, industry consortia, company licensing made available to all, de facto usage, or through open source licensing. In our Semiconductor & System Design segment, our EDA products support many standards, including the most commonly used hardware description languages: SystemVerilog, Verilog, VHDL, and SystemC®. Our products utilize numerous industry-standard data formats, APIs, and databases for the exchange of design data among our tools, other EDA vendors’ products, and applications that customers develop internally. We also comply with a wide range of industry standards within our IP product family to ensure usability and interconnectivity. In our Software Integrity segment, our solutions support several existing and emerging industry standards for software coding and security, such as the Motor Industry Software Reliability Association (MISRA) coding standards for the automotive industry. In addition, our products support multiple major programming languages, including C/C ++, Objective C, C#, JavaScript (including many commonly used frameworks), and others. In addition, we support many common compilers, development environments, frameworks, and data and file formats. 8 Table of Contents Sales and Distribution Our Semiconductor & System Design segment customers are primarily semiconductor and electronics systems companies. The customers for products in our Software Integrity segment include many of these companies as well as companies from a wider array of industries, including electronics, financial services, automotive, medicine, energy and industrials. We market our products and services principally through direct sales in the United States and our principal foreign markets. We typically distribute our software products and documentation to customers electronically, but provide physical media (e.g., DVD-ROMs) when requested by the customer. We maintain sales and support centers throughout the United States. Outside the United States, we maintain sales, support or service offices in Canada, multiple countries in Europe, Israel and throughout Asia, including Japan, China, Korea, and Taiwan. Our international headquarters are located in Dublin, Ireland. Our offices are further described under Part I, Item 2, Properties. Information relating to domestic and foreign operations, including revenue and long-lived assets by geographic area, is contained in Part II, Item 8, Financial Statements and Supplementary Data. Risks related to our foreign operations are described in Part I, Item 1A, Risk Factors. Revenue Attributable to Product Categories and Segments Revenue attributable to each of our four product categories (with EDA, IP & Systems Integration, and Other comprising our Semiconductor & System Design segment) is shown below as a percentage of our total revenue for those fiscal years. 9 Table of Contents Aggregate revenue derived from one of our customers and its subsidiaries through multiple agreements accounted for 12.4%, 12.8% and 15.4% of our total revenue in fiscal 2020, 2019 and 2018, respectively. In each such year, the revenue derived from such customer and its subsidiaries was primarily attributable to our Semiconductor & System Design segment. Product Sales and Licensing Agreements We typically license our software to customers under non-exclusive license agreements that restrict use of our software to specified purposes within specified geographical areas. The majority of licenses to our EDA products are network licenses that allow a number of individual users to access the software on a defined network, including, in some cases, regional or global networks. The majority of licenses to our Software Integrity products are capacity or user licenses that allow a number of users to access the software based on a specified number of team members or specified code-bases in a defined territory. License fees depend on the type of license, product mix, and number of copies of each product licensed. For a full discussion of our software product offerings, see Part II, Item 7, Management’s Discussion and Analysis of Financial Condition and Results of Operations. We typically license our DesignWare IP products under nonexclusive license agreements that provide usage rights for specific designs. Fees under these licenses are typically charged on a per design basis plus, in some cases, royalties. See Note 2 of Notes to Consolidated Financial Statements for further information. Our hardware products, which principally consist of our prototyping and emulation systems, are either sold or leased to our customers. Our professional services team typically provides design consulting services to our customers under consulting agreements with statements of work specific to each project. Competition The EDA industry is highly competitive. We compete against other EDA vendors and against our customers’ own design tools and internal design capabilities. In general, we compete principally on technology leadership, product quality and features (including ease-of-use), license terms, price and payment terms, post-contract customer 10 Table of Contents support, flexibility of tool use, and interoperability with our own and other vendors’ products. We also deliver a significant amount of engineering and design consulting for our products. No single factor drives an EDA customer’s buying decision, and we compete on all fronts to capture a higher portion of our customers’ budgets. Our competitors include EDA vendors that offer varying ranges of products and services, such as Cadence Design Systems, Inc. and Mentor Graphics Corporation (now part of Siemens AG). We also compete with other EDA vendors, including new entrants to the marketplace, that offer products focused on one or more discrete phases of the IC design process, as well as with customers’ internally developed design tools and capabilities. Within our Semiconductor & System Design segment, Synopsys also competes against numerous other IP providers, including Cadence Design Systems, Inc., and our customers' internally developed IP. We generally compete on the basis of product quality, reliability and features, availability of titles for new manufacturing processes, ease of integration with customer designs, compatibility with design tools, license terms, price and payment terms, and customer support. Our Software Integrity segment competes with numerous other solution providers, many of which focus on specific aspects of software security or quality analysis. We also compete with frequent new entrants, which include start-up companies and more established software companies. For example, competitors named in the Gartner Magic Quadrant for Application Security Testing include Checkmarx Ltd., Veracode (now part of Thoma Bravo, LLC) and Micro Focus International plc. Proprietary Rights We primarily rely upon a combination of copyright, patent, trademark, and trade secret laws and license and non- disclosure agreements to establish and protect our proprietary rights. We have a diversified portfolio of more than 3,300 United States and foreign patents issued, and we will continue to pursue additional patents in the future. Our issued patents have expiration dates through 2040. Our patents primarily relate to our products and the technology used in connection with our products. Our source code is protected both as a trade secret and as an unpublished copyrighted work. However, third parties may independently develop similar technology. In addition, effective copyright and trade secret protection may be unavailable or limited in some foreign countries. While protecting our proprietary technology is important to our success, our business as a whole is not significantly dependent upon any single patent, copyright, trademark, or license. In many cases, under our customer agreements and other license agreements, we offer to indemnify our customers if the licensed products infringe on a third party’s intellectual property rights. As a result, we may from time to time need to defend claims that our customers’ use of our products infringes on these third-party rights. We license software and other intellectual property from third parties, including, in several instances, for inclusion in our products. Risks related to our use of third-party technology are described in Part I, Item 1A, Risk Factors. Corporate Social Responsibility at Synopsys We recognize that our significant role in shaping a future of Smart Everything brings important responsibilities. The future is not smart if it is not sustainable, fair and secure. Our "Smart Future" Corporate Social Responsibility (CSR) program provides a focus and structure for how Synopsys addresses both our own operational impact on the world and our ability to influence others around us. We are helping address global issues such as climate change, as well as focusing on the need for social justice and equality. Through our CSR program, we are committed to taking actions related to our operational impact, such as driving diversity and inclusion initiatives throughout our workforce and on our Board of Directors, building security into our products, and reducing our environmental impact. Synopsys has committed to ambitious CSR goals, including, for example, a pledge to reduce our Scope 1 and Scope 2 greenhouse gas emissions by 25% by 2024, compared with our 2018 baseline. Additional detail on our proactive efforts to address climate change are included in our Corporate Social Responsibility Report, CDP Climate Change Questionnaire, and on our website.1 1The contents of our website and our Corporate Social Responsibility Report and CDP Climate Change Questionnaire are referenced for general information only and are not incorporated into this 10-K. 11 Table of Contents Our Smart Future commitment also means applying our problem-solving approach, people, technology and other resources to influence those around us—including our customers, partners and suppliers—to join us in driving positive change in the world. Synopsys technology is in action in countless ways: from bringing safety and security to the driverless car revolution to enabling the technologies that are an increasingly vital component of protecting human health and well-being. As the role of computing increases exponentially, IoT, 5G and machine learning applications risk driving similarly exponential energy consumption and carbon emissions. This makes Synopsys’ work to enable low-power computing at the device level and in the cloud especially critical to the industry’s sustainability. At the same time, we are advancing global supply chain sustainability as a member of the Responsible Business Alliance and our Synopsys for Good program combines volunteer time, our technology expertise and financial donations to bring STEM education and other support to the communities in which we work. Human Capital Resources Synopsys is committed to attracting and retaining the brightest and best talent, so investing in human capital is critical to our success. As of October 31, 2020, Synopsys had 15,036 employees, of which approximately 35% are in the Americas, and 65% in other global regions. Approximately 80% of our employees are engineers, and almost half of those employees hold Masters’ or PhD degrees. Human capital measures and objectives that Synopsys focuses on in managing its business include employee safety, talent acquisition and retention, employee engagement, development and training, diversity and inclusion, and compensation and pay equity. COVID-19 and Employee Safety During the COVID-19 pandemic, our primary focus has been on the safety and well-being of our employees and their families. Our global pandemic efforts include leveraging the advice and recommendations of infectious disease experts to establish proper safety standards and secure appropriate levels of personal protective equipment. We launched regional emergency response teams to ensure that our employees have the appropriate equipment and support to safely and productively work remotely. In addition, in order to reinforce a deep connection and establish clear direction with our employees, we have significantly increased leadership updates and management outreach. As part of our planning, we also solicited voluntary individual profiles from our employees, enabling us to efficiently and effectively address their unique needs. Our employees have been provided with a composite of benefits and support initiatives to address the inherent challenges of working remotely during a pandemic. As the pandemic continues, the health and well-being of our workforce remains our top priority while we ensure productivity while working from home. Engaging the Entire Team We address employee engagement through three foundational areas: recruiting and retaining a diverse workforce, soliciting and addressing employee feedback, and frequent management outreach to ensure commitment, engagement, continuous learning and skills development. Our workforce is representative of the industry we serve. We are highly technical, enjoy pushing the boundaries of what is possible and are individually innovative. In 2020, we grew our global team by approximately 8% with a keen focus on increasing the number of technical women in our workforce and ensuring a vibrant talent pipeline through early career hiring. We had an external hiring rate of 27% women and 29% early career hires (defined as within one year of a candidate’s most recent academic degree). In this same timeframe, our undesired turnover rate has been notably low, compared to competitive benchmarks and historical trends. We attribute our strong retention to a number of factors, including exciting and challenging assignments, strong leadership and management, the opportunity to learn new skills and advance careers, the strength of our technology, customer relationships and business, along with competitive and equitable total rewards. To ensure a compelling total rewards philosophy and practice, we have practices in place to deliver fair and equitable compensation for employees based on their contribution and performance. We benchmark for market practices, and regularly review our compensation against the market to ensure it remains competitive. We also offer a comprehensive and tailored set of benefits for employees and their families, providing protection from unexpected losses or medical expenses. Our benefits programs are tailored to the various geographies in which we operate. We believe in continual improvement and use employee feedback to drive and improve processes that support our customers and ensure a deep understanding of our culture and vision among our employees. We conduct a confidential employee survey twice a year, and in 2020 we had record-breaking participation—90% of our employees shared their experiences and provided feedback for improvement. Results show that Synopsys employees are highly engaged, with scores generally rising in recent years. In addition, during 2020, we conducted several surveys to understand our employees’ well-being during the COVID-19 pandemic and to more effectively 12 Table of Contents guide our response. Those surveys showed high approval rates of our communication and response to the pandemic. Ninety percent felt that we were helping them feel connected to one another, providing a sense of community while working remotely. We also believe that ongoing performance feedback encourages greater engagement in our business and improved individual performance. Each year, our employees participate in our Performance Development Program that summarizes key accomplishments for the preceding year, establishes new stretch goals, and identifies critical capabilities for development. We encourage managers to solicit and share supportive 360-degree feedback, further strengthening the focus on teamwork and team success. Empowering Leadership We regard every member of our global team as a leader. We sponsor a number of leadership programs to address the career advancement and associated business impact of our employees, emerging leaders and executives. Our management training is designed to increase capability in the areas of communication, engagement, coaching, inclusion and diversity, hiring and on-boarding, business skills and ensuring an ethical and supportive work environment free from bias and harassment. As employees advance in their careers, our training framework builds new capabilities on established foundational skills. Our regions and business teams also customize development programs for their specific needs. Synopsys sponsors continuous learning and skills development through our digital platform that is utilized by 75% of our employees as the source for internal training and insights, as well as access to external articles, videos and blogs. In addition, we host a series of in-person and on-demand learning sessions designed to build capability and adaptability required for the future. Information about our Executive Officers The executive officers of Synopsys and their ages as of December 14, 2020 were as follows: Name Aart J. de Geus Chi-Foon Chan Sassine Ghazi Trac Pham Joseph W. Logan John F. Runkel, Jr. Age Position 66 Co-Chief Executive Officer and Chairman of the Board of Directors 71 50 51 61 65 Co-Chief Executive Officer and President Chief Operating Officer Chief Financial Officer Sales and Corporate Marketing Officer General Counsel and Corporate Secretary Aart J. de Geus co-founded Synopsys and has served as Chairman of our Board of Directors since February 1998 and Chief Executive Officer since January 1994. He has served as Co-Chief Executive Officer with Dr. Chi-Foon Chan since May 2012. Since the inception of Synopsys in December 1986, Dr. de Geus has held a variety of positions, including President, Senior Vice President of Engineering and Senior Vice President of Marketing. He has served as a member of Synopsys’ Board of Directors since 1986, and served as Chairman of our Board from 1986 to 1992 and again from 1998 until present. Dr. de Geus has also served on the board of directors of Applied Materials, Inc. since July 2007. Dr. de Geus holds an M.S.E.E. from the Swiss Federal Institute of Technology in Lausanne, Switzerland and a Ph.D. in Electrical Engineering from Southern Methodist University. Chi-Foon Chan has served as our Co-Chief Executive Officer since May 2012 and as our President and a member of our Board of Directors since February 1998. Prior to his appointment as our Co-Chief Executive Officer in May 2012, he had served as our Chief Operating Officer since April 1997. Dr. Chan joined Synopsys in May 1990 and has held various senior management positions, including Executive Vice President, Office of the President from September 1996 to February 1998 and Senior Vice President, Design Tools Group from February 1994 to April 1997. Dr. Chan has also held senior management and engineering positions at NEC Electronics and Intel Corporation. Dr. Chan holds a B.S. in Electrical Engineering from Rutgers University, and an M.S. and a Ph.D. in Computer Engineering from Case Western Reserve University. Sassine Ghazi has served as our Chief Operating Officer since August 2020. Mr. Ghazi joined Synopsys in March 1998 as an Application Engineer and most recently served as General Manager of the Design Group. Prior to joining Synopsys, Mr. Ghazi was a design engineer at Intel. Mr. Ghazi received his bachelor’s degree in Business Administration from Lebanese American University; a B.S.E.E from the Georgia Institute of Technology in 1993; and an M.S.E.E. from the University of Tennessee in 1995. 13 Table of Contents Trac Pham is our Chief Financial Officer. Mr. Pham joined Synopsys in November 2006 as Vice President, Financial Planning and Strategy. He became our Vice President, Corporate Finance, in August 2012, assuming additional responsibility for our tax and treasury functions, before being appointed Chief Financial Officer in December 2014. Mr. Pham holds a Bachelor of Arts in Economics from the University of California, Berkeley and an MPIA (Master of Pacific International Affairs) from the University of California, San Diego. He is an active status California CPA. Joseph W. Logan serves as our Sales and Corporate Marketing Officer. He became Senior Vice President of Worldwide Sales in September 2006 and assumed responsibility for our Corporate Marketing organization in August 2013. Previously, Mr. Logan was head of sales for Synopsys’ North America East region from September 2001 to September 2006. Prior to Synopsys, Mr. Logan was head of North American Sales and Support at Avant! Corporation. Mr. Logan holds a B.S.E.E. from the University of Massachusetts, Amherst. John F. Runkel, Jr. has served as our General Counsel and Corporate Secretary since May 2014. From October 2008 to March 2013, he was Executive Vice President, General Counsel, and Corporate Secretary of Affymetrix, Inc. He served as Senior Vice President, General Counsel and Corporate Secretary of Intuitive Surgical, Inc. from 2006 to 2007. Mr. Runkel served in several roles at VISX, Inc. from 2001 to 2005, most recently as Senior Vice President of Business Development and General Counsel. Mr. Runkel was also a partner at the law firm of Sheppard, Mullin, Richter & Hampton LLP for 11 years. He holds a Bachelor of Arts and a Juris Doctorate from the University of California, Los Angeles. There are no family relationships among any Synopsys executive officers or directors. 14 Table of Contents Item 1A. Risk Factors A description of the risk factors associated with our business is set forth below. Investors should carefully consider these risks and uncertainties before investing in our common stock. COVID-19 Pandemic Risks The COVID-19 pandemic could have a material adverse effect on our business, operations and financial condition. The COVID-19 pandemic has caused minor disruptions to our business operations to date and could have a material adverse effect on our business, operations and financial condition in the future. For example, we experienced limited hardware supply chain and logistical challenges as well as a slowdown in customer commitments in our Software Integrity segment. In response to the COVID-19 novel coronavirus pandemic, governments and businesses have taken unprecedented actions to contain the virus, including social distancing, travel restrictions, shelter-in-place orders and restrictions on non-essential businesses. These restrictions have significantly curtailed global economic activity and have caused substantial volatility and disruption in global financial markets. We transitioned most of our employees in affected regions to work remotely in order to comply with applicable restrictions and government requirements, and implemented travel restrictions and other changes to our business operations. We are transitioning employees back into offices in select jurisdictions in conformity with local guidelines and regulations. Each office must follow physical distancing guidelines and affirmative health measures in compliance with different local and national requirements. Although we have been able to navigate workplace restrictions and limitations with minimal disruptions to our business operations to date, we may further modify our business practices and real estate needs in response to the risks and negative impacts caused by the COVID-19 pandemic. We cannot be certain that these measures will be successful. The extent to which the COVID-19 pandemic impacts our business operations in future periods will depend on multiple uncertain factors, including the duration and scope of the pandemic, its overall negative impact on the global economy, continued responses by governments and businesses to COVID-19, the ability to secure timely payment from customers, the ability to accurately estimate customer demand, reduced willingness of current and potential customers to purchase our products and services due to their own business and market uncertainties, the ability of our business partners and third-party providers to fulfill their responsibilities and commitments, the ability to secure adequate and timely supply of equipment and materials from suppliers for our hardware products, and the ability to develop and deliver our products. In addition, continued weak economic conditions may result in impairment in value of our tangible and intangible assets. The impact of the COVID-19 pandemic may also have the effect of heightening many of the other risks and uncertainties described in this “Risk Factors” section. Industry Risks The growth of our business depends primarily on the semiconductor and electronics industries. The growth of the electronic design automation (EDA) industry as a whole, our Semiconductor & System Design segment product sales, and to some extent our Software Integrity segment product sales, are dependent on the semiconductor and electronics industries. A substantial portion of our business and revenue depends upon the commencement of new design projects by semiconductor manufacturers, systems companies, and their customers. The increasing complexity of designs of systems-on-chips, integrated circuits, electronic systems and customers’ concerns about managing costs have previously led and in the future could lead to a decrease in design starts and design activity in general, with some customers focusing more on one discrete phase of the design process or opting for less advanced, but less risky, manufacturing processes that may not require the most advanced EDA products. Demand for our products and services could decrease and our financial condition and results of operations could be adversely affected if growth in the semiconductor and electronics industries slows or stalls, including due to the impact of the COVID-19 pandemic. Additionally, as the EDA industry has matured, consolidation has resulted in stronger competition from companies better able to compete as sole source vendors. This increased competition may cause our revenue growth rate to decline and exert downward pressure on our operating margins, which may have an adverse effect on our business and financial condition. Furthermore, the semiconductor and electronics industries have become increasingly complex ecosystems. Many of our customers outsource the manufacture of their semiconductor designs to foundries. Our customers also frequently incorporate third-party IP, whether provided by us or other vendors, into their designs to improve the efficiency of their design process. We work closely with major foundries to ensure that our EDA, IP, and 15 Table of Contents manufacturing solutions are compatible with their manufacturing processes. Similarly, we work closely with other major providers of semiconductor IP, particularly microprocessor IP, to optimize our EDA tools for use with their IP designs and to assure that their IP and our own IP products, which may each provide for the design of separate components on the same chip, work effectively together. If we fail to optimize our EDA and IP solutions for use with major foundries’ manufacturing processes or major IP providers’ products, or if our access to such foundry processes or third-party IP products is hampered, then our solutions may become less desirable to our customers, resulting in an adverse effect on our business and financial condition. Consolidation among our customers and within the industries in which we operate, as well as our dependence on a relatively small number of large customers, may negatively impact our operating results. A number of business combinations, including mergers, asset acquisitions and strategic partnerships, among our customers in the semiconductor and electronics industries have occurred over the last several years, and more could occur in the future. Consolidation among our customers could lead to fewer customers or the loss of customers, increased customer bargaining power, or reduced customer spending on software and services. Furthermore, we depend on a relatively small number of large customers, and on such customers continuing to renew licenses and purchase additional products from us, for a large portion of our revenue. Reduced customer spending or the loss of a small number of customers, particularly our large customers, could adversely affect our business and financial condition. In addition, we and our competitors from time to time acquire businesses and technologies to complement and expand our respective product offerings. If any of our competitors consolidate or acquire businesses and technologies which we do not offer, they may be able to offer a larger technology portfolio, additional support and service capability, or lower prices, which could negatively impact our business and operating results. Uncertainty in the global economy, and its potential impact on the semiconductor and electronics industries in particular, may negatively affect our business, operating results and financial condition. Uncertainty caused by the recent challenging global economic conditions, including due to the effects of the COVID-19 pandemic, could lead some of our customers to postpone their decision-making, decrease their spending and/or delay their payments to us. Such caution by customers could, among other things, limit our ability to maintain or increase our sales or recognize revenue from committed contracts. Outside of a slowdown in customer commitments in our Software Integrity segment, we have not seen evidence of impacts on customer orders from the COVID-19 pandemic to date. We cannot predict the stability of the economy as a whole or the industries in which we operate. Further economic instability could adversely affect the banking and financial services industry and result in credit downgrades of the banks we rely on for foreign currency forward contracts, credit and banking transactions, and deposit services, or cause them to default on their obligations. There is uncertainty regarding how proposed, contemplated or future changes to the complex laws and regulations governing our industry, the banking and financial services industry, and the economy could affect our business. In addition, economic conditions could deteriorate in the future, and, in particular, the semiconductor and electronics industries could fail to grow, including as the result of the effects of the COVID-19 pandemic and any disruption of international trade relationships such as tariffs, export licenses, or other government trade restrictions. In the event of future improvements in economic conditions for our customers, the positive impact on our revenues and financial results may be deferred due to our business model. Any of the foregoing could cause adverse effects on our business, operating results and financial condition, and could cause our stock price to decline. We operate in highly competitive industries, and if we do not continue to meet our customers’ demand for innovative technology at lower costs, our products may become uncompetitive and obsolete, and our business and financial condition may be harmed. In our Semiconductor & System Design segment, we compete against EDA vendors that offer a variety of products and services, such as Cadence Design Systems, Inc. and Mentor Graphics Corporation (now part of Siemens AG). We also compete with other EDA vendors, including new entrants to the marketplace, that offer products focused on one or more discrete phases of the IC design process. Moreover, our customers internally develop design tools and capabilities that compete with our products, including internal designs that compete with our IP products. In the area of IP products, we compete against numerous other IP providers as well as our customers’ internally developed IP. 16 Table of Contents In our Software Integrity segment, we compete with numerous other solution providers, many of which focus on specific aspects of software security or quality analysis. We also compete with frequent new entrants, which include start-up companies and more established software companies. The industries in which we operate are highly competitive and the demand for our products and services is dynamic and depends on a number of factors, including demand for our customers’ products, design starts and our customers’ budgetary constraints. Technology in these industries evolves rapidly and is characterized by frequent product introductions and improvements as well as changes in industry standards and customer requirements. For example, the adoption of cloud computing and artificial intelligence technologies can bring new demands and also challenges in terms of disruption to both business models and our existing technology offerings. Semiconductor device functionality requirements continually increase while feature widths decrease, substantially increasing the complexity, cost and risk of chip design and manufacturing. At the same time, our customers and potential customers continue to demand an overall lower total cost of design, which can lead to the consolidation of their purchases with one vendor. In order to succeed in this environment, we must successfully meet our customers’ technology requirements and increase the value of our products, while also striving to reduce their overall costs and our own operating costs. We compete principally on the basis of technology, product quality and features (including ease-of-use), license or usage terms, post-contract customer support, interoperability among products, and price and payment terms. Specifically, we believe the following competitive factors affect our success: • • • • • • Our ability to anticipate and lead critical development cycles and technological shifts, innovate rapidly and efficiently, improve our existing software and hardware products, and successfully develop or acquire such new products; Our ability to offer products that provide both a high level of integration into a comprehensive platform and a high level of individual product performance; Our ability to enhance the value of our offerings through more favorable terms such as expanded license usage, future purchase rights, price discounts and other differentiating rights, such as multiple tool copies, post-contract customer support, “re-mix” rights that allow customers to exchange the software they initially licensed for other Synopsys products, and the ability to purchase pools of technology; Our ability to manage an efficient supply chain to ensure availability of hardware products; Our ability to compete on the basis of payment terms; and Our ability to provide engineering and design consulting for our products. If we fail to successfully manage these competitive factors, fail to successfully balance the conflicting demands for innovative technology and lower overall costs, or fail to address new competitive forces, our business and financial condition will be adversely affected. Business Operations Risks The global nature of our operations exposes us to increased risks and compliance obligations that may adversely affect our business. We derive roughly half of our revenue from sales outside the United States, and we expect our orders and revenue to continue to depend on sales to customers outside the U.S. We have also continually expanded our non-U.S. operations. This strategy requires us to recruit and retain qualified technical and managerial employees, manage multiple remote locations performing complex software development projects and ensure intellectual property protection outside of the U.S. Our international operations and sales subject us to a number of increased risks, including: • • • Ineffective or weaker legal protection of intellectual property rights; Uncertain economic and political conditions in countries where we do business; Government trade restrictions, including tariffs, export licenses, or other trade barriers, and changes to existing trade arrangements between various countries such as China; 17 Table of Contents • • • • • Difficulties in adapting to cultural differences in the conduct of business, which may include business practices in which we are prohibited from engaging by the Foreign Corrupt Practices Act or other anti-corruption laws; Financial risks such as longer payment cycles and difficulty in collecting accounts receivable; Inadequate local infrastructure that could result in business disruptions; Additional taxes, interest, and potential penalties, and uncertainty around changes in tax laws of various countries; and Other factors beyond our control such as natural disasters, terrorism, civil unrest, war, and infectious diseases and pandemics, including COVID-19. Furthermore, if any of the foreign economies in which we do business deteriorate or if we fail to effectively manage our global operations, our business and results of operations will be harmed. There is inherent risk, based on the complex relationships between certain Asian countries such as China and the United States, that political, diplomatic, or military events could result in trade disruptions, including tariffs, trade embargoes, export restrictions and other trade barriers. A significant trade disruption, export restriction, or the establishment or increase of any trade barrier in any area where we do business could reduce customer demand and cause customers to search for substitute products and services, make our products and services more expensive or unavailable for customers, increase the cost of our products and services, have a negative impact on customer confidence and spending, make our products less competitive, or otherwise have a materially adverse impact on our future revenue and profits, our customers’ and suppliers’ businesses, and our results of operations. For example, beginning in May 2019, the United States government placed certain entities on the “Entity List,” restricting the sale of U.S. technologies to the named entities. As a result of this government action, unless and until the restriction is lifted, we are not able to ship products or provide support to these entities. In addition, in May 2020, the United States government placed further restrictions on certain entities on the Entity List to prevent them from sharing designs developed using U.S. software or technology with other entities on the Entity List and obtaining semiconductors manufactured with processes that use U.S. software and technology. In August 2020, the Entity List rules were further revised such that any company with knowledge that a customer will use certain U.S. technologies to design or produce any item for a Huawei-affiliated company on the Entity List must obtain a license prior to any export of such technologies. We believe that this latest restriction will not materially impact our business at this time, but cannot predict the impact that additional regulatory changes may have on our business in the future. In response to these actions or similar actions taken by the United States, other countries may adopt tariffs and trade barriers that could limit our ability to offer our products and services. Current and potential customers who are concerned or affected by such tariffs or restrictions may respond by developing their own products or replacing our solutions, which would have an adverse effect on our business. In addition, government or customer efforts, attitudes, laws, or policies regarding technology independence may lead to non-U.S. customers favoring their domestic technology solutions that could compete with or replace our products, which would also have an adverse effect on our business. In addition to tariffs and other trade barriers, our global operations are subject to numerous U.S. and foreign laws and regulations, including those related to anti-corruption, tax, corporate governance, imports and exports, financial and other disclosures, privacy and labor relations. These laws and regulations are complex and may have differing or conflicting legal standards, making compliance difficult and costly. In addition, there is uncertainty regarding how proposed, contemplated or future changes to these complex laws and regulations could affect our business. We may incur substantial expense in complying with the new obligations to be imposed by these laws and regulations, and we may be required to make significant changes in our business operations, all of which may adversely affect our revenues and our business overall. If we violate these laws and regulations, we could be subject to fines, penalties or criminal sanctions, and may be prohibited from conducting business in one or more countries. Although we have implemented policies and procedures to help ensure compliance with these laws and regulations, there can be no assurance that our employees, contractors, agents or partners will not violate such laws and regulations. Any violation individually or in the aggregate could have a material adverse effect on our operations and financial condition. 18 Table of Contents Our financial results are also affected by fluctuations in foreign currency exchange rates. A weakening U.S. dollar relative to other currencies increases expenses of our foreign subsidiaries when they are translated into U.S. dollars in our consolidated statements of operations. Likewise, a strengthening U.S. dollar relative to other currencies, including the renminbi or Yen, reduces revenue of our foreign subsidiaries upon translation and consolidation. Exchange rates are subject to significant and rapid fluctuations, and therefore we cannot predict the prospective impact of exchange rate fluctuations. Although we engage in foreign currency hedging activity, we may be unable to hedge all of our foreign currency risk, which could have a negative impact on our results of operations. Our operating results may fluctuate in the future, which may adversely affect our stock price. Our operating results are subject to quarterly and annual fluctuations, which may adversely affect our stock price. Our historical results should not be viewed as indicative of our future performance due to these periodic fluctuations. Many factors may cause our revenue or earnings to fluctuate, including: • • • • • • • • • • • • • • • Changes in demand for our products-especially products, such as hardware, generating upfront revenue-due to fluctuations in demand for our customers’ products and due to constraints in our customers’ budgets for research and development and EDA products and services; Changes in demand for our products due to customers reducing their expenditures, whether as a cost-cutting measure or a result of their insolvency or bankruptcy, and whether due to the COVID-19 pandemic or other reasons; Product competition in the EDA industry, which can change rapidly due to industry or customer consolidation and technological innovation; Our ability to innovate and introduce new products and services or effectively integrate products and technologies that we acquire; Failures or delays in completing sales due to our lengthy sales cycle, which often includes a substantial customer evaluation and approval process because of the complexity of our products and services; Our ability to implement effective cost control measures; Our dependence on a relatively small number of large customers, and on such customers continuing to renew licenses and purchase additional products from us, for a large portion of our revenue; Changes to the amount, composition and valuation of, and any impairments to or write-offs of, our inventory; Changes in the mix of our products sold, as increased sales of our products with lower gross margins, such as our hardware products, may reduce our overall margins; Expenses related to our acquisition and integration of businesses and technology; Changes in tax rules, as well as changes to our effective tax rate, including the tax effects of infrequent or unusual transactions and tax audit settlements; Delays, increased costs or quality issues resulting from our reliance on third parties to manufacture our hardware products, which includes a sole supplier for certain hardware components; Natural variability in the timing of IP drawdowns, which can be difficult to predict; General economic and political conditions that affect the semiconductor and electronics industries, such as disruptions to international trade relationships, including tariffs, export licenses, or other trade barriers affecting our or our suppliers’ products, as well as impacts due to the COVID-19 pandemic; and Changes in accounting standards, which may impact the way we recognize our revenue and costs and impact our earnings. 19 Table of Contents The timing of revenue recognition may also cause our revenue and earnings to fluctuate. The timing of revenue recognition is affected by factors that include: • • • • • • Cancellations or changes in levels of orders or the mix between upfront products revenue and time- based products revenue; Delay of one or more orders for a particular period, particularly orders generating upfront products revenue, such as hardware; Delay in the completion of professional services projects that require significant modification or customization and are accounted for using the percentage of completion method; Delay in the completion and delivery of IP products in development as to which customers have paid for early access; Customer contract amendments or renewals that provide discounts or defer revenue to later periods; and The levels of our hardware and IP revenues, which are recognized upfront and are primarily dependent upon our ability to provide the latest technology and meet customer requirements. These factors, or any other factors or risks discussed herein, could negatively impact our revenue or earnings and cause our stock price to decline. Additionally, our results may fail to meet or exceed the expectations of securities analysts and investors, or such analysts may change their recommendation regarding our stock, which could cause our stock price to decline. Our stock price has been, and may continue to be, volatile, which may make it more difficult for our stockholders to sell their shares at a time or a price that is favorable to them. Cybersecurity threats or other security breaches could compromise sensitive information belonging to us or our customers and could harm our business and our reputation, particularly that of our security testing solutions. We store sensitive data, including intellectual property, our proprietary business information and that of our customers, and confidential employee information, in our data centers and on our networks. Despite our security measures, our information technology and infrastructure may be vulnerable to attacks by hackers or breached due to employee error, malfeasance or other disruptions that could result in unauthorized disclosure or loss of sensitive information. As a result of the COVID-19 pandemic and shelter-in-place orders, most of our employees in affected areas are working remotely, which magnifies the importance of the integrity of our remote access security measures. For example, we discovered unauthorized third-party access to our products and product license files hosted on our SolvNet customer license and product delivery system in 2015. While we identified and remediated the incident, it is possible that our security measures may be circumvented again in the future, and any such breach could harm our business and reputation. The techniques used to obtain unauthorized access to networks, or to sabotage systems, change frequently and generally are not recognized until launched against a target. We may be unable to anticipate these techniques or to implement adequate preventative measures. Furthermore, in the operation of our business we also use third-party vendors that store certain sensitive data, including confidential information about our employees, and these third parties are subject to their own cybersecurity threats. While our standard vendor terms and conditions include provisions requiring the use of appropriate security measures to prevent unauthorized use or disclosure of our data, as well as other safeguards, a breach may still occur. Any security breach of our own or a third-party vendor’s systems could cause us to be non-compliant with applicable laws or regulations, subject us to legal claims or proceedings, disrupt our operations, damage our reputation, and cause a loss of confidence in our products and services, any of which could adversely affect our business. Our software products, including our hosted solutions as well as our software security and quality testing solutions, may also be vulnerable to cyber attacks. An attack could disrupt the proper functioning of our software, cause errors in the output of our customers’ work, allow unauthorized access to our or our customers’ proprietary information, or cause other destructive outcomes. As a result, our reputation could suffer, customers could stop buying our products, we could face lawsuits and potential liability, and our financial performance could be negatively impacted. We offer software security and quality testing solutions. If we fail to identify new and increasingly sophisticated methods of cyber attacks, or fail to invest sufficient resources in research and development regarding new threat vectors, our security testing products and services may fail to detect vulnerabilities in our customers’ software code. 20 Table of Contents An actual or perceived failure to identify security flaws may harm the perceived reliability of our security testing products and services, and could result in a loss of customers or sales, or an increased cost to remedy a problem. Furthermore, our growth and recent acquisitions in the software security and quality testing space may increase our visibility as a security-focused company and may make us a more attractive target for attacks on our own information technology infrastructure. Successful attacks could damage our reputation as a security-focused company. If we fail to protect our proprietary technology, our business will be harmed. Our success depends in part upon protecting our proprietary technology. Our efforts to protect our technology may be costly and unsuccessful. We rely on agreements with customers, employees and other third-parties as well as intellectual property laws worldwide to protect our proprietary technology. These agreements may be breached, and we may not have adequate remedies for any breach. Additionally, despite our measures to prevent piracy, other parties may attempt to illegally copy or use our products, which could result in lost revenue if their efforts are successful. Some foreign countries do not currently provide effective legal protection for intellectual property and our ability to prevent the unauthorized use of our products in those countries is therefore limited. Our trade secrets may also be stolen, otherwise become known, or be independently developed by competitors. From time to time, we may need to commence litigation or other legal proceedings in order to: • • • • Assert claims of infringement of our intellectual property; Defend our products from piracy; Protect our trade secrets or know-how; or Determine the enforceability, scope and validity of the propriety rights of others. If we do not obtain or maintain appropriate patent, copyright or trade secret protection, for any reason, or cannot fully defend our intellectual property rights in certain jurisdictions, our business and operating results would be harmed. In addition, intellectual property litigation is lengthy, expensive and uncertain. Legal fees related to such litigation will increase our operating expenses and may reduce our net income. We may not be able to realize the potential financial or strategic benefits of the acquisitions we complete, or find suitable target businesses and technology to acquire, which could hurt our ability to grow our business, develop new products or sell our products. Acquisitions and strategic investments are an important part of our growth strategy. We have completed a significant number of acquisitions in recent years. We expect to make additional acquisitions and strategic investments in the future, but we may not find suitable acquisition or investment targets or we may not be able to consummate desired acquisitions or investments due to unfavorable credit markets, commercially unacceptable terms, or other risks, which could harm our operating results. Acquisitions and strategic investments are difficult, time-consuming, and pose a number of risks, including: • • • • • • • • Potential negative impact on our earnings per share; Failure of acquired products to achieve projected sales; Problems in integrating the acquired products with our products; Difficulties entering into new markets in which we are not experienced or where competitors may have stronger positions; Potential downward pressure on operating margins due to lower operating margins of acquired businesses, increased headcount costs and other expenses associated with adding and supporting new products; Difficulties in retaining and integrating key employees; Substantial reductions of our cash resources and/or the incurrence of debt; Failure to realize expected synergies or cost savings; 21 Table of Contents • • • • • • • • • • Difficulties in integrating or expanding sales, marketing and distribution functions and administrative systems, including information technology and human resources systems; Dilution of our current stockholders through the issuance of common stock as part of the merger consideration; Difficulties in negotiating, governing and realizing value from strategic investments; Assumption of unknown liabilities, including tax and litigation, and the related expenses and diversion of resources; Disruption of ongoing business operations, including diversion of management’s attention and uncertainty for employees and customers, particularly during the post-acquisition integration process; Potential negative impacts on our relationships with customers, distributors and business partners; Exposure to new operational risks, regulations, and business customs to the extent acquired businesses are located in regions where we are not currently conducting business; The need to implement controls, processes and policies appropriate for a public company at acquired companies that may have lacked such controls, processes and policies; Negative impact on our net income resulting from acquisition or investment-related costs; and Requirements imposed by government regulators in connection with their review of an acquisition, including required divestitures or restrictions on the conduct of our business or the acquired business. If we do not manage the foregoing risks, the acquisitions or strategic investments that we complete may have an adverse effect on our business and financial condition. We pursue new product and technology initiatives from time to time, and if we fail to successfully carry out these initiatives, our business, financial condition, or results of operations could be adversely impacted. As part of the evolution of our business, we have made substantial investments to develop new products and enhancements to existing products through our acquisitions and research and development efforts. If we are unable to anticipate technological changes in our industry by introducing new or enhanced products in a timely and cost- effective manner, or if we fail to introduce products that meet market demand, we may lose our competitive position, our products may become obsolete, and our business, financial condition or results of operations could be adversely affected. Additionally, from time to time, we invest in expansion into adjacent markets, including software security and quality testing solutions. Although we believe these solutions are complementary to our EDA tools, we have less experience and a more limited operating history in offering software quality testing and security products and services, and our efforts in this area may not be successful. Our success in these new markets depends on a variety of factors, including the following: • • • • Our ability to attract a new customer base, including in industries in which we have less experience; Our successful development of new sales and marketing strategies to meet customer requirements; Our ability to accurately predict, prepare for, and promptly respond to technological developments in new fields, including, in the case of our software quality testing and security tools and services, identifying new security vulnerabilities in software code and ensuring support for a growing number of programming languages; Our ability to compete with new and existing competitors in these new industries, many of which may have more financial resources, industry experience, brand recognition, relevant intellectual property rights, or established customer relationships than we currently do, and could include free and open source solutions that provide similar software quality testing and security tools without fees; 22 Table of Contents • • • • Our ability to skillfully balance our investment in adjacent markets with investment in our existing products and services; Our ability to attract and retain employees with expertise in new fields; Our ability to sell and support consulting services at profitable margins; and Our ability to manage our revenue model in connection with hybrid sales of licensed products and consulting services. Difficulties in any of our new product development efforts or our efforts to enter adjacent markets, including delays or disruptions as a result of the COVID-19 pandemic, could adversely affect our operating results and financial condition. We may have to invest more resources in research and development than anticipated, which could increase our operating expenses and negatively affect our operating results. We devote substantial resources to research and development. New competitors, technological advances in the semiconductor industry or by competitors, our acquisitions, our entry into new markets, or other competitive factors may require us to invest significantly greater resources than we anticipate. If we are required to invest significantly greater resources than anticipated without a corresponding increase in revenue, our operating results could decline. Additionally, our periodic research and development expenses may be independent of our level of revenue, which could negatively impact our financial results. Finally, there can be no guarantee that our research and development investments will result in products that create additional revenue. Product errors or defects could expose us to liability and harm our reputation and we could lose market share. Software products frequently contain errors or defects, especially when first introduced, when new versions are released, or when integrated with technologies developed by acquired companies. Product errors, including those resulting from third-party suppliers, could affect the performance or interoperability of our products, could delay the development or release of new products or new versions of products and could adversely affect market acceptance or perception of our products. In addition, any allegations of manufacturability issues resulting from use of our IP products could, even if untrue, adversely affect our reputation and our customers’ willingness to license IP products from us. Any such errors or delays in releasing new products or new versions of products or allegations of unsatisfactory performance could cause us to lose customers, increase our service costs, subject us to liability for damages and divert our resources from other tasks, any one of which could materially and adversely affect our business and operating results. Our hardware products, which primarily consist of prototyping and emulation systems, subject us to distinct risks. The growth in sales of our hardware products subjects us to several risks, including: • • • • • Increased dependence on a sole supplier for certain hardware components, which may reduce our control over product quality and pricing and may lead to delays in production and delivery of our hardware products, should our supplier fail to deliver sufficient quantities of acceptable components in a timely fashion; Increasingly variable revenue and less predictable revenue forecasts, due to fluctuations in hardware revenue, which is recognized upfront upon shipment, as opposed to most sales of software products for which revenue is recognized over time; Potential reductions in overall margins, as the gross margin for our hardware products is typically lower than those of our software products; Longer sales cycles, which create risks of insufficient, excess or obsolete inventory and variations in inventory valuation, which can adversely affect our operating results; Decreases or delays in customer purchases in favor of next-generation releases, which may lead to excess or obsolete inventory or require us to discount our older hardware products; 23 Table of Contents • • Longer warranty periods than those of our software products, which may require us to replace hardware components under warranty, thus increasing our costs; and Potential impacts on our supply chain due to the effects of the COVID-19 pandemic. Liquidity requirements in our U.S. operations may require us to raise cash in uncertain capital markets, which could negatively affect our financial condition. As of October 31, 2020, approximately 52% of our worldwide cash and cash equivalents balance is held by our international subsidiaries. We intend to meet our U.S. cash spending needs primarily through our existing U.S. cash balances, ongoing U.S. cash flows, and available credit under our term loan and revolving credit facilities. Should our cash spending needs in the U.S. rise and exceed these liquidity sources, due to the impact of the COVID-19 pandemic or otherwise, we may be required to incur additional debt at higher than anticipated interest rates or access other funding sources, which could negatively affect our results of operations, capital structure or the market price of our common stock. From time to time we are subject to claims that our products infringe on third-party intellectual property rights. We are from time to time subject to claims alleging our infringement of third-party intellectual property rights, including patent rights. Under our customer agreements and other license agreements, we agree in many cases to indemnify our customers if our products infringe a third party’s intellectual property rights. Infringement claims can result in costly and time-consuming litigation, require us to enter into royalty arrangements, subject us to damages or injunctions restricting our sale of products, invalidate a patent or family of patents, require us to refund license fees to our customers or to forgo future payments or require us to redesign certain of our products, any one of which could harm our business and operating results. We may not be able to continue to obtain licenses to third-party software and intellectual property on reasonable terms or at all, which may disrupt our business and harm our financial results. We license third-party software and other intellectual property for use in product research and development and, in several instances, for inclusion in our products. We also license third-party software, including the software of our competitors, to test the interoperability of our products with other industry products and in connection with our professional services. These licenses may need to be renegotiated or renewed from time to time, or we may need to obtain new licenses in the future. Third parties may stop adequately supporting or maintaining their technology, or they or their technology may be acquired by our competitors. If we are unable to obtain licenses to these third- party software and intellectual property on reasonable terms or at all, we may not be able to sell the affected products, our customers’ use of the products may be interrupted, or our product development processes and professional services offerings may be disrupted, which could in turn harm our financial results, our customers, and our reputation. The inclusion of third-party intellectual property in our products can also subject us and our customers to infringement claims. Although we seek to mitigate this risk contractually, we may not be able to sufficiently limit our potential liability. Regardless of outcome, infringement claims may require us to use significant resources and may divert management’s attention. Some of our products and technology, including those we acquire, may include software licensed under open source licenses. Some open source licenses could require us, under certain circumstances, to make available or grant licenses to any modifications or derivative works we create based on the open source software. Although we have tools and processes to monitor and restrict our use of open source software, the risks associated with open source usage may not be eliminated and may, if not properly addressed, result in unanticipated obligations that harm our business. If we fail to timely recruit and retain senior management and key employees, our business may be harmed. We depend in large part upon the services of key members of our senior management team to drive our future success. If we were to lose the services of any member of our senior management team, our business could be adversely affected. To be successful, we must also attract and retain key technical, sales and managerial employees, including those who join us in connection with acquisitions. There are a limited number of qualified EDA and IC design engineers, and competition for these individuals is intense and has increased. Our employees are often recruited aggressively by our competitors and our customers. Any failure to recruit and retain key technical, 24 Table of Contents sales and managerial employees could harm our business, results of operations and financial condition, and our recruiting and retention efforts may be negatively impacted by restrictions on travel and business activity due to the COVID-19 pandemic. Additionally, efforts to recruit and retain qualified employees could be costly and negatively impact our operating expenses. We issue equity awards from employee equity plans as a key component of our overall compensation. We face pressure to limit the use of such equity-based compensation due to its dilutive effect on stockholders. If we are unable to grant attractive equity-based packages in the future, it could limit our ability to attract and retain key employees. In preparing our financial statements we make certain assumptions, judgments and estimates that affect amounts reported in our consolidated financial statements, which, if not accurate, may significantly impact our financial results. We make assumptions, judgments and estimates for a number of items, including the fair value of financial instruments, goodwill, long-lived assets and other intangible assets, the realizability of deferred tax assets, the recognition of revenue and the fair value of stock awards. We also make assumptions, judgments and estimates in determining the accruals for employee-related liabilities, including commissions and variable compensation, and in determining the accruals for uncertain tax positions, valuation allowances on deferred tax assets, allowances for doubtful accounts, and legal contingencies. These assumptions, judgments and estimates are drawn from historical experience and various other factors that we believe are reasonable under the circumstances as of the date of the consolidated financial statements. Actual results could differ materially from our estimates, and such differences could significantly impact our financial results. In addition, we cannot predict the full impact of the COVID-19 pandemic on our business operations. The uncertainty affects management’s estimates and assumptions, which could result in greater variability in a variety of areas that depend on these estimates and assumptions. Legal and Regulatory Risks Changes in United States Generally Accepted Accounting Principles (U.S. GAAP) could adversely affect our financial results and may require significant changes to our internal accounting systems and processes. We prepare our consolidated financial statements in conformity with U.S. GAAP. These principles are subject to interpretation by the Financial Accounting Standards Board (FASB), the Securities and Exchange Commission (SEC) and various bodies formed to interpret and create appropriate accounting principles and guidance. The FASB periodically issues new accounting standards on a variety of topics, including, for example, revenue recognition and accounting for leases. These and other such standards generally result in different accounting principles, which may significantly impact our reported results or could result in variability of our financial results. For example, the new revenue recognition standard became applicable to us at the beginning of fiscal 2019 and there is an increased volatility in our total revenue with less predictability than the prior accounting standard. Our results could be adversely affected by a change in our effective tax rate as a result of tax law changes and related new or revised guidance and regulations, changes in our geographical earnings mix, unfavorable government reviews of our tax returns, material differences between our forecasted and actual annual effective tax rates, future changes to our tax structure, or by evolving enforcement practices. Our operations are subject to income and transaction taxes in the United States and in multiple foreign jurisdictions. Because we have a wide range of statutory tax rates in the multiple jurisdictions in which we operate, any changes in our geographical earnings mix, including those resulting from our intercompany transfer pricing or from changes in the rules governing transfer pricing, could materially impact our effective tax rate. Furthermore, a change in the tax law of the jurisdictions where we do business, including an increase in tax rates, an adverse change in the treatment of an item of income or expense or limitations on our ability to utilize tax credits, could result in a material increase in our tax expense and impact our financial position and cash flows. For example, in response to the fiscal impact of the COVID-19 pandemic, the State of California enacted legislation on June 29, 2020 that would suspend the use of certain corporate research and development tax credits for a three-year period beginning in our fiscal 2021, which could result in an impact in our tax expense. On December 22, 2017, the President of the United States signed into law the Tax Cuts and Jobs Act (Tax Act), which significantly changed prior U.S. tax law and includes numerous provisions that affect our business. The Tax Act includes certain new provisions that began to affect our income from foreign operations in the first quarter of fiscal 2019. Since the beginning of fiscal 2019, the U.S. Treasury Department has issued proposed regulations that 25 Table of Contents could have a material impact on our ability to claim certain tax benefits related to the Tax Act. While we continue to evaluate the potential impact on our estimated annual tax rate, certain of these regulations have not been finalized and are subject to change. As additional regulations and guidance evolve with respect to the Tax Act, and as we gather more information and perform more analysis, our results may materially differ from previous estimates, and those differences may materially affect our financial position. Accounting for certain of these provisions requires the exercise of significant judgment. Further changes in the tax laws of foreign jurisdictions could arise as a result of the Programme of Work to Develop a Concensus Solution to the Tax Challenges Arising from the Digitalization of the Economy (Programme of Work) agreement by the Organisation for Economic Co-operation and Development (OECD), which represents a coalition of member countries, including the United States. The Programme of Work is evaluating potential changes to numerous long-standing tax principles. These changes, if enacted, by various countries in which we do business may increase our taxes in these countries. Changes to these and other areas in relation to international tax reform, including future actions taken by foreign governments in response to the Tax Act, could increase uncertainty and may adversely affect our tax rate and cash flow in future years. Our income and non-income tax filings are subject to review or audit by the Internal Revenue Service and state, local and foreign taxing authorities. We exercise significant judgment in determining our worldwide provision for income taxes and, in the ordinary course of our business, there may be transactions and calculations where the ultimate tax determination is uncertain. We may also be liable for potential tax liabilities of businesses we acquire, including future taxes payable related to the transition tax on earnings from their foreign operations, if any, under the Tax Act. Although we believe our tax estimates are reasonable, the final determination in an audit may be materially different than the treatment reflected in our historical income tax provisions and accruals. An assessment of additional taxes because of an audit could adversely affect our income tax provision and net income in the periods for which that determination is made. In July 2017, the Hungarian Tax Authority (HTA) issued a final assessment against our Hungarian subsidiary (Synopsys Hungary) for fiscal years 2011 through 2013. The HTA has applied withholding taxes on certain payments made to affiliates, resulting in an aggregate tax assessment of approximately $25.0 million and interest and penalties of $11.0 million. We paid the tax assessments, penalties and interest in the first quarter of fiscal 2018 as required by law and recorded these amounts as prepaid taxes on our balance sheet. On April 30, 2019, the Hungarian Administrative Court ruled against Synopsys Hungary. We filed an appeal with the Hungarian Supreme Court on July 5, 2019. The Hungarian Supreme Court heard our appeal on November 12, 2020 and issued a ruling from the bench to remand the case to the Hungarian Administrative Court for further proceedings. We expect to receive the Hungarian Supreme Court’s written decision in the first quarter of fiscal 2021. For further discussion of the Hungary audit, see Note 13 of Notes to Consolidated Financial Statements. We maintain significant deferred tax assets related to certain tax credits. Our ability to use these credits is dependent upon having sufficient future taxable income in the relevant jurisdiction and in the case of foreign tax credits, how such credits are treated under provisions of the Tax Act. Changes in our forecasts of future income could result in an adjustment to the deferred tax asset and a related charge to earnings that could materially affect our financial results. We may be subject to litigation proceedings that could harm our business. We may be subject to legal claims or regulatory matters involving stockholder, consumer, employment, customer, supplier, competition, and other issues on a global basis. Litigation is subject to inherent uncertainties, and unfavorable rulings could occur. An unfavorable ruling could include monetary damages or, in cases for which injunctive relief is sought, an injunction prohibiting us from manufacturing or selling one or more products. If we were to receive an unfavorable ruling on a matter, our business and results of operations could be materially harmed. Further information regarding certain of these matters is contained in Part I, Item 3, Legal Proceedings. Our business is subject to evolving corporate governance and public disclosure regulations that have increased both our compliance costs and the risk of noncompliance, which could have an adverse effect on our stock price. We are subject to changing rules and regulations promulgated by a number of governmental and self-regulatory organizations, including the SEC, the Nasdaq Stock Market, and the FASB. These rules and regulations continue to evolve in scope and complexity and many new requirements have been created in response to laws enacted by Congress, making compliance more difficult and uncertain. For example, our efforts to comply with the Dodd-Frank Wall Street Reform and Consumer Protection Act and other regulations, including “conflict minerals” regulations 26 Table of Contents affecting our hardware products, have resulted in, and are likely to continue to result in, increased general and administrative expenses and a diversion of management time and attention from revenue-generating activities to compliance activities. There are inherent limitations on the effectiveness of our controls and compliance programs. Regardless of how well designed and operated it is, a control system can provide only reasonable assurance that its objectives will be met. Further, the design of a control system must reflect the fact that there are resource constraints, and the benefits of controls must be considered relative to their costs. Because of the inherent limitations in all control systems, no evaluation of controls can provide absolute assurance that all control issues and instances of fraud, if any, have been detected. Moreover, although we have implemented compliance programs and compliance training for employees, such measures may not prevent our employees, contractors or agents from breaching or circumventing our policies or violating applicable laws and regulations. Failure of our control systems and compliance programs to prevent error, fraud or violations of law could have a material adverse impact on our business. Our investment portfolio may be impaired by any deterioration of capital markets. From time to time, our cash equivalent and short-term investment portfolio consists of investment-grade U.S. government agency securities, asset-backed securities, corporate debt securities, commercial paper, certificates of deposit, money market funds, municipal securities and other securities, and bank deposits. Our investment portfolio carries both interest rate risk and credit risk and may be negatively impacted by the economic effects of the COVID-19 pandemic. Fixed rate debt securities may have their market value adversely impacted due to a credit downgrade or a rise in interest rates, while floating rate securities may produce less income than expected if interest rates fall or a credit downgrade occurs. As a result of capital pressures on certain banks, especially in Europe, and the continuing low interest rate environment, some of our financial instruments may become impaired. Our future investment income may fall short of expectations due to changes in interest rates or if the decline in fair value of investments held by us is judged to be other-than-temporary. In addition, we may suffer losses in principal if we are forced to sell securities that decline in market value due to changes in the issuer’s credit quality or changes in interest rates. General Risks Catastrophic events may disrupt our business and harm our operating results. Due to the global nature of our business, our operating results may be negatively impacted by catastrophic events throughout the world. We rely on a global network of infrastructure applications, enterprise applications and technology systems for our development, marketing, operational, support and sales activities. A disruption or failure of these systems in the event of a major earthquake, fire, telecommunications failure, cybersecurity attack, terrorist attack, epidemic or pandemic (including the COVID-19 pandemic), or other catastrophic event could cause system interruptions, delays in our product development and loss of critical data and could prevent us from fulfilling our customers’ orders. In particular, our sales and infrastructure are vulnerable to regional or worldwide health conditions, including the effects of the outbreak of contagious diseases such as the COVID-19 pandemic. Moreover, our corporate headquarters, a significant portion of our research and development activities, our data centers, and certain other critical business operations are located in California, near major earthquake faults. A catastrophic event that results in the destruction or disruption of our data centers or our critical business or information technology systems would severely affect our ability to conduct normal business operations and, as a result, our operating results would be adversely affected. Item 1B. Unresolved Staff Comments None. 27 Table of Contents Item 2. Properties Our principal offices are located in two adjacent buildings in Mountain View, California, which together provide approximately 341,000 square feet of available space. This space is leased through August 2030, and we have two options to extend the lease term, the first to extend the term by ten years, followed by a second option to extend by approximately nine additional years. We also lease approximately 350,000 square feet of space in three adjacent buildings in Sunnyvale, California, which we have leased through October 2031. These buildings in Mountain View and Sunnyvale are used for research and development, sales and support, marketing, and administrative activities for both of our business segments. Additionally, we own one building in Sunnyvale, California with approximately 120,000 square feet of space that was vacated in February 2020 and is currently leased to a third party under a lease agreement that runs through February 2031. We currently lease 29 other offices throughout the United States, and own two office buildings in Oregon, one of which is leased to a third party. These offices are used primarily for sales and support activities as well as research and development for both of our business segments. International Facilities We lease additional space for sales, service, and research and development activities for both of our business segments in approximately 29 countries throughout the world, including 25,000 square feet in Dublin, Ireland for our international headquarters, as well as significant sites in Yerevan, Armenia, Bangalore, India, Shanghai and Wuhan, China. We own several buildings in Wuhan, China with approximately 551,000 square feet of combined space. In addition, we own two buildings in Hsinchu, Taiwan with approximately 212,000 square feet of combined space. Beginning on March 2021, we will lease approximately 181,000 square feet of space in Shanghai with a term of ten years, and plan to vacate our existing lease in Shanghai, China. We believe that our existing facilities, including both owned and leased properties, are in good condition and suitable for the current conduct of our business. Item 3. Legal Proceedings We are subject to routine legal proceedings, as well as demands, claims and threatened litigation that arise in the normal course of our business. The ultimate outcome of any litigation is often uncertain and unfavorable outcomes could have a negative impact on our results of operations and financial condition. Regardless of outcome, litigation can have an adverse impact on Synopsys because of the defense costs, diversion of management resources and other factors. We regularly review the status of each significant matter and assess its potential financial exposure. If the potential loss from any claim or legal proceeding is considered probable and the amount is estimable, we accrue a liability for the estimated loss. Legal proceedings are inherently uncertain and as circumstances change, it is possible that the amount of any accrued liability may increase, decrease, or be eliminated. In July 2017, the HTA issued a final assessment against Synopsys' Hungarian subsidiary (Synopsys Hungary) for fiscal years 2011 through 2013. The HTA disallowed Synopsys Hungary's tax positions taken during these years regarding the timing of the deduction of research expenses and applied withholding taxes on certain payments made to affiliates, resulting in an aggregate tax assessment of approximately $44.5 million and interest and penalties of $18.0 million. On August 2, 2017, Synopsys Hungary filed a claim contesting the final assessment with the Hungarian Administrative Court (the Court). On November 16, 2017, Synopsys Hungary paid the assessment as required by law, while continuing its challenge to the assessment in court. Hearings were held in February and July 2018, February 26, 2019 and April 30, 2019. On December 10, 2018, Synopsys withdrew its claim contesting the final assessment with regard to the timing of the deduction of research expenses, resulting in a remaining disputed tax assessment of approximately $25.0 million and interest and penalties of $11.0 million. On April 30, 2019, the Court ruled against Synopsys Hungary. The Court's opinion was received on May 16, 2019. Synopsys Hungary filed an appeal with the Hungarian Supreme Court on July 5, 2019. In the second quarter of 2019, as a result of the Court's decision, we recorded a tax expense due to an unrecognized tax benefit of $17.4 million, which is net of estimated U.S. foreign tax credits for the tax assessments. The Hungarian Supreme Court heard our appeal on November 12, 2020 and issued a ruling from the bench to remand the case to the Hungarian 28 Table of Contents Administrative Court for further proceedings. We expect to receive the Hungarian Supreme Court’s written decision in the first quarter of fiscal 2021. For further discussion of the Hungary audit, see Note 13 of Notes to Consolidated Financial Statements under the heading "Non-U.S. Examinations." Item 4. Mine Safety Disclosures Not applicable. 29 Table of Contents PART II Item 5. Market for Registrant’s Common Equity, Related Stockholder Matters and Issuer Purchases of Equity Securities Our common stock trades on the Nasdaq Global Select Market under the symbol “SNPS.” As of December 10, 2020, we had 242 stockholders of record. Performance Graph The following graph compares the five-year total return to stockholders of our common stock relative to the cumulative total returns of the S&P 500 Index, the S&P Information Technology Index and the Nasdaq Composite Index. The graph assumes that $100 was invested in Synopsys common stock on October 31, 2015 (the last trading day before the beginning of our fifth preceding fiscal year) and in each of the indexes on October 31, 2015 (the closest month end) and that all dividends were reinvested. No cash dividends were declared on our common stock during such time. The comparisons in the table are not intended to forecast or be indicative of possible future performance of our common stock. COMPARISON OF 5 YEAR CUMULATIVE TOTAL RETURN* *$100 invested on October 31, 2015 in stock or index, including reinvestment of dividends. 30 Table of Contents The information presented above in the stock performance graph shall not be deemed to be “soliciting material” or to be “filed” with the SEC or subject to Regulation 14A or 14C, except to the extent that we subsequently specifically request that such information be treated as soliciting material or specifically incorporate it by reference into a filing under the Securities Act or Exchange Act. Stock Repurchase Program Our Board of Directors (Board) previously approved a stock repurchase program pursuant to which we were authorized to purchase up to $500.0 million of our common stock, and has periodically replenished the stock repurchase program to such amount. Our Board replenished the stock repurchase program up to $500.0 million on June 19, 2020. The program does not obligate us to acquire any particular amount of common stock, and the program may be suspended or terminated at any time by our Chief Financial Officer or our Board. We repurchase shares to offset dilution caused by ongoing stock issuances from existing equity plans for equity compensation awards and issuances related to acquisitions, and when management believes it is a good use of cash. Repurchases are transacted in accordance with Rule 10b-18 of the Securities Exchange Act of 1934, as amended (the Exchange Act) and may be made through any means including, but not limited to, open market purchases, plans executed under Rule 10b5-1(c) of the Exchange Act and structured transactions. As of October 31, 2020, $457.9 million remained available for future repurchases under the program. In December 2019, we entered an accelerated share repurchase agreement (the December 2019 ASR) to repurchase an aggregate of $100.0 million of our common stock. Pursuant to the December 2019 ASR, we made a prepayment of $100.0 million to receive initial share deliveries of shares valued at $80.0 million. The remaining balance of $20.0 million was settled in February 2020. Total shares purchased under the December 2019 ASR were approximately 0.7 million shares, at an average purchase price of $149.75 per share. In February 2020, we entered into an accelerated share repurchase agreement (the February 2020 ASR) to repurchase an aggregate of $100.0 million of our common stock. Pursuant to the February 2020 ASR, we made a prepayment of $100.0 million to receive initial share deliveries of shares valued at $80.0 million. The remaining balance of $20.0 million was settled in May 2020. Total shares purchased under the February 2020 ASR were approximately 0.7 million shares, at an average purchase price of $140.41 per share. The table below sets forth information regarding our repurchases of our common stock during the three months ended October 31, 2020: Period Month #1 August 2, 2020 through September 5, 2020 Month #2 September 6, 2020 through October 3, 2020 Month #3 October 4, 2020 through October 31, 2020 Total Total number of shares purchased (1) Average price paid per share (1) Total number of shares purchased as part of publicly announced programs Maximum dollar value of shares that may yet be purchased under the programs 2,178 $ 229.50 2,178 $ 499,500,159 178,918 $ 203.88 178,918 $ 463,022,956 23,641 $ 204,737 $ 215.75 205.52 23,641 $ 457,922,451 204,737 $ 457,922,451 (1) Amounts are calculated based on the settlement date. 31 Table of Contents Item 6. Selected Financial Data Revenue $ 3,685,281 $ 3,360,694 $ 3,121,058 $ 2,724,880 $ 2,422,532 Fiscal Year Ended October 31,(1) 2020 2019 2018 2017 2016 (in thousands, except per share data) Income before provisions for income taxes Provision (benefit) for income taxes(2) Net income Net income (loss) attributed to non-controlling interest Net income attributed to Synopsys Net income per share: Basic Diluted Working capital (deficit) Total assets Long-term debt Stockholders’ equity 638,159 545,506 363,543 383,098 329,548 (25,288) 663,447 13,139 532,367 (68,975) 432,518 246,535 136,563 62,722 266,826 (900) — — — — 664,347 532,367 432,518 136,563 266,826 4.40 4.27 409,295 3.55 3.45 2.90 2.82 0.91 0.88 (13,536) (558,618) 68,484 1.76 1.73 1,992 8,030,062 6,405,160 6,145,974 5,396,414 5,240,365 100,823 120,093 125,535 134,063 — 4,912,367 4,088,876 3,485,015 3,279,724 3,195,146 (1) (2) Our fiscal year ends on the Saturday nearest to October 31 and consists of 52 weeks, with the exception that approximately every five years, we have a 53-week year. When a 53-week year occurs, we include the additional week in the first quarter to realign fiscal quarters with calendar quarters. Fiscal 2018 was a 53- week year and ended on November 3, 2018. Fiscal 2020, 2019, 2017, and 2016 were 52-week years ending on October 31, 2020, November 2, 2019, October 28, 2017 and October 29, 2016, respectively. Includes $13.2 million, $10.9 million, $14.7 million, $7.1 million, and $16.5 million in net tax benefits from tax settlements received in fiscal 2020, 2019, 2018, 2017, and 2016, respectively. Fiscal 2018 additionally includes a $57.8 million net benefit from tax reform and tax restructuring. Fiscal 2017 additionally includes a $166.2 million expense from our repatriation of foreign earnings. See Note 13 of Notes to Consolidated Financial Statements. Item 7. Management’s Discussion and Analysis of Financial Condition and Results of Operations Overview The following overview of our financial condition and results of operations is qualified in its entirety by the more complete discussion contained in this Item 7, the risk factors set forth in Item 1A of this Form 10-K and our consolidated financial statements and the notes thereto set forth in Item 8 of this Form 10-K. Please also see the cautionary language at the beginning of Part I of this Form 10-K regarding forward-looking statements. Business Summary Synopsys, Inc. provides products and services used across the entire Silicon to Software spectrum, from engineers creating advanced semiconductors to product teams developing advanced electronic systems to software developers seeking to ensure the security and quality of their code. We are a global leader in supplying the electronic design automation (EDA) software that engineers use to design and test integrated circuits (ICs), also known as chips. We also offer semiconductor intellectual property (IP) products, which are pre-designed circuits that engineers use as components of larger chip designs rather than designing those circuits themselves. We provide software and hardware used to validate the electronic systems that incorporate chips and the software that runs on them. To complement these offerings, we provide technical services and support to help our customers 32 Table of Contents develop advanced chips and electronic systems. These products and services are part of our Semiconductor & System Design segment. We are also a leading provider of software tools and services that improve the security, quality and compliance of software in a wide variety of industries, including electronics, financial services, automotive, medicine, energy and industrials. These tools and services are part of our Software Integrity segment. Our EDA and IP customers are generally semiconductor and electronics systems companies. Our solutions help these companies overcome the challenges of developing increasingly advanced electronics products while also helping them reduce their design and manufacturing costs. While our products are an important part of our customers’ development process, our sales could be affected based on their research and development budgets, and our customers' spending decisions may be affected by their business outlook and willingness to invest in new and increasingly complex chip designs. Our Software Integrity business delivers products and services that enable software developers to test their code - while it is being written - for known security vulnerabilities and quality defects, as well as testing for open source security vulnerabilities and license compliance. Our Software Integrity customers are software developers across many industries, including, but also well beyond, the semiconductor and systems industries. Our Software Integrity products and services form a platform that helps our customers build security into the software development lifecycle and across the entire cyber supply chain. We have consistently grown our revenue since 2005, despite periods of global economic uncertainty. We achieved these results because of our solid execution, leading technologies and strong customer relationships, and because we recognize our revenue for software licenses over the arrangement period, which typically approximates three years. See Note 2 of Notes to Consolidated Financial Statements for discussion on our revenue recognition policy. The revenue we recognize in a particular period generally results from selling efforts in prior periods rather than the current period. As a result, decreases as well as increases in customer spending do not immediately affect our revenues in a significant way. Our growth strategy is based on maintaining and building on our leadership in our EDA products, expanding and proliferating our IP offerings, driving growth in the software security and quality market, and continuing to expand our product portfolio and our total addressable market. In addition, due to our adoption of Accounting Standard Codification 606 (ASC 606), "Revenue from Contracts with Customers", in the beginning of fiscal 2019, the way in which we are required to account for certain types of arrangements has increased the variability in our total revenue from period to period. Nevertheless, the accounting impact has not affected the cash generated from our business. Based on our leading technologies, customer relationships, business model, diligent expense management, and acquisition strategy, we believe that we will continue to execute our strategies successfully. COVID-19 Pandemic While the COVID-19 pandemic has changed the physical working environment of the substantial majority of our workforce to working from home, it has otherwise caused only minor disruptions to our business operations with a limited impact on our operating results thus far. Given the unpredictable nature of the COVID-19 pandemic’s impact on the global economy, our historical results may not be an indication of future performance. The extent to which the COVID-19 pandemic impacts our business operations in future periods will depend on multiple uncertain factors, including the duration and scope of the pandemic, its overall negative impact on the global economy generally and the semiconductor and electronics industries specifically, and continued responses by governments and businesses to COVID-19. We have not identified trends that we expect will materially impact our future operating results at this time. As we recognize our revenue for software licenses over the arrangement period, any potential impact related to COVID-19 may be delayed. We have not observed any changes in the design activity of customers, but we experienced a slowdown in customer commitments in our Software Integrity segment. We have not received any significant requests from our customers to either delay payments or modify arrangements due to COVID-19. However, this situation could change in future periods and the extent that these requests may impact our business is uncertain. We have also experienced minor disruptions in our hardware supply chain, which we have been able to address with minimal impact to our business operations to date. We will continue to consider the potential impact of the COVID-19 pandemic on our business operations. Although no material impairment or other effects have been identified to date related to the COVID-19 pandemic, there is substantial uncertainty in the nature and degree of its continued effects over time. That uncertainty affects 33 Table of Contents management’s accounting estimates and assumptions, which could result in greater variability in a variety of areas that depend on these estimates and assumptions as additional events and information become known. See Part I, Item 1A, Risk Factors for further discussion of the possible impact of the COVID-19 pandemic on our business, operations and financial condition. Business Segments Semiconductor & System Design. This segment includes our advanced silicon design, verification products and services, and semiconductor IP portfolio, which encompasses products and services that serve companies primarily in the semiconductor and electronics industries. EDA includes digital, custom and Field Programmable Gate Array (FPGA) IC design software, verification products, and manufacturing software products. Designers use these products to automate the highly complex IC design process and to reduce defects that could lead to expensive design or manufacturing re-spins or suboptimal end products. For IP, we are a leading provider of high-quality, silicon-proven IP solutions for system-on-chips (SoCs). This includes IP that has been optimized to address specific application requirements for the mobile, automotive, digital home, internet of things, and cloud computing markets, enabling designers to quickly develop SoCs in these areas. Software Integrity. This segment includes a broad portfolio of products and services such as leading quality testing technologies, automated analysis, and consulting experts. Beginning in fiscal 2019, we launched the Polaris Software Integrity Platform™, an integrated cloud-based solution that unites key elements to provide an even more valuable way for developers to better develop personalized approaches for open source license compliance and detect and remediate known security vulnerabilities and quality defects early in the development process, thereby minimizing risk and maximizing productivity. Fiscal Year End Our fiscal year ends on the Saturday nearest to October 31 and consists of 52 weeks, with the exception that approximately every five years, we have a 53-week year. When a 53-week year occurs, we include the additional week in the first quarter to realign fiscal quarters with calendar quarters. Fiscal 2018 was a 53-week year and ended on November 3, 2018. Fiscal 2020 and 2019 were 52-week years ending on October 31, 2020 and November 2, 2019, respectively. Fiscal 2021 will be a 52-week year. For presentation purposes, this Form 10-K refers to the closest calendar month end. Fiscal 2020 Financial Performance Summary In fiscal 2020, compared to fiscal 2019, our financial performance reflects the following: • • • Revenues were $3.7 billion, an increase of $324.6 million or 10%, primarily due to our continued organic growth; Total cost of revenue and operating expenses were $3.1 billion, an increase of $224.8 million or 8%, primarily due to increases in employee-related costs of $193.4 million, resulting from headcount increases through organic growth and acquisitions, partially offset by a decrease in restructuring costs of $11.1 million; Operating income of $620.1 million, an increase of $99.9 million or 19%. Critical Accounting Policies and Estimates Our discussion and analysis of our financial results under Results of Operations below are based on our audited results of operations, which we have prepared in accordance with U.S. GAAP. In preparing these financial statements, we make assumptions, judgments and estimates that can affect the reported amounts of assets, liabilities, revenues and expenses, and net income. On an ongoing basis, we evaluate our estimates based on historical experience and various other assumptions we believe are reasonable under the circumstances. Our actual results may differ from these estimates. See Note 2 of Notes to Consolidated Financial Statements for further information on our significant accounting policies. The accounting policies that most frequently require us to make assumptions, judgments and estimates, and therefore are critical to understanding our results of operations, are: • Revenue recognition; 34 Table of Contents • • Valuation of business combinations; and Income taxes. Revenue Recognition Our contracts with customers often include promises to transfer multiple products and services to a customer. Determining whether services and products are considered distinct performance obligations that should be accounted for separately versus together may require significant judgment. We have concluded that our EDA software licenses in Time-based Subscription License (TSL) contracts are not distinct from our obligation to provide unspecified software updates to the licensed software throughout the license term, because those promises represent inputs to a single, combined performance obligation. Where unspecified additional software product rights are part of the contract with the customer, those rights are accounted for as part of the single performance obligation that includes the licenses, updates, and technical support, because such rights are provided during the same period of time and have the same time-based pattern of transfer to the customer. In reaching this conclusion, we considered the nature of our obligation to customers which is to provide an ongoing right to use the most up to date and relevant software. As EDA customers operate in a rapidly changing and competitive environment, satisfying the obligation requires providing critical updates to the existing software products, including ongoing iterative interaction with customers to make the software relevant to the customers’ ability to meet the time to go to market with advanced products. Business Combinations We allocate the purchase price of acquired companies to the tangible and intangible assets acquired and liabilities assumed based upon their estimated fair values at the acquisition date. The purchase price allocation process requires management to make significant estimates and assumptions with respect to intangible assets. Although we believe the assumptions and estimates we have made are reasonable, they are based in part on historical experience, market conditions and information obtained from management of the acquired companies and are inherently uncertain. Examples of critical estimates in valuing certain of the intangible assets we have acquired or may acquire in the future include, but are not limited to: • • • • future expected cash flows from software license sales, subscriptions, support agreements, consulting contracts and acquired developed technologies and patents; historical and expected customer attrition rates and anticipated growth in revenue from acquired customers; the expected use of the acquired assets; and discount rates. Unanticipated events and circumstances may occur which may affect the accuracy or validity of such assumptions, estimates or actual results. Income Taxes We use the asset and liability method of accounting for income taxes. Under this method, income tax expense is recognized for the amount of taxes payable or refundable for the current year. In addition, deferred tax assets and liabilities are recognized for the expected future tax consequences of temporary differences between the financial reporting and tax bases of assets and liabilities, and for operating losses and tax credit carryforwards. Management must make assumptions, judgments and estimates to determine our current provision for income taxes and also our deferred tax assets and liabilities. Our assumptions, judgments and estimates relative to the current provision for income taxes take into account current tax laws, our interpretation of current tax laws and possible outcomes of current and future audits conducted by foreign and domestic tax authorities. We have established reserves for income taxes to address potential exposures involving tax positions that could be challenged by tax authorities. In addition, we are subject to the continual examination of our income tax returns by the U.S. Internal Revenue Service (IRS) and other domestic and foreign tax authorities. We regularly assess the likelihood of outcomes resulting from these examinations to determine the adequacy of our provision for income taxes and have reserved for potential adjustments that may result from such examinations. We believe such estimates to be reasonable; however, the final determination of any of these examinations could significantly impact the amounts provided for income taxes in our consolidated financial statements. 35 Table of Contents Effect of New Accounting Pronouncements Not Yet Adopted See Note 16 of Notes to Consolidated Financial Statements. Results of Operations We adopted new revenue guidance, ASC 606, at the beginning of fiscal 2019 under the modified retrospective method which has limited the comparability of prior year results in revenue and commission expense. The comparative information for periods prior to fiscal 2019 has not been restated. Revenue Our revenues are generated from two business segments: the Semiconductor & System Design segment and the Software Integrity segment. See Note 15 of the Notes to Consolidated Financial Statements for additional information about our reportable segments and revenue by geographic regions. Further disaggregation of the revenues into various products and services within these two segments is summarized as follows: Semiconductor & System Design Segment This segment is comprised of the following: • EDA software includes digital, custom and Field Programmable Gate Array (FPGA) IC design software, verification products and obligations to provide unspecified updates and support services. EDA products and services are typically sold through TSL arrangements that grant customers the right to access and use all of the licensed products at the outset of an arrangement and software updates are generally made available throughout the entire term of the arrangement. The weighted-average term of the TSLs we entered into in fiscal 2020, 2019, and 2018 were approximately three years, respectively. Under ASC 606, we have concluded that the software licenses in TSL contracts are not distinct from the obligation to provide unspecified software updates to the licensed software throughout the license term, because the multiple software licenses represent inputs to a single, combined offering, and timely, relevant software updates are integral to maintaining the utility of the software licenses. We recognize revenue for the combined performance obligation under TSL contracts ratably over the term of the license. • • IP & System Integration includes our DesignWare® IP portfolio and system-level products and services. Under ASC 606, these arrangements generally have two performance obligations which consist of transferring of the licensed IP and providing related support, which includes rights to technical support and software updates that are provided over the support term and are transferred to the customer over time. Revenue allocated to the IP licenses is recognized at a point in time upon the later of the delivery date or the beginning of the license period, and revenue allocated to support is recognized over the support term. Royalties are recognized as revenue in the quarter in which the applicable customer sells its products that incorporate our IP. Payments for IP contracts are generally received upon delivery of the IP. Revenue related to the customization of certain IP is recognized as “Professional Services.” In the case of arrangements involving the sale of Hardware products, we generally have two performance obligations. The first performance obligation is to transfer the hardware product, which includes software integral to the functionality of the hardware product. The second performance obligation is to provide maintenance on the hardware and its embedded software, which includes rights to technical support, hardware repairs and software updates that are all provided over the same term and have the same time-based pattern of transfer to the customer. The portion of the transaction price allocated to the hardware product is generally recognized as revenue at the time of shipment because the customer obtains control of the product at that point in time. We have concluded that control generally transfers at that point in time because the customer has the ability to direct the use of the asset and an obligation to pay for the hardware. The portion of the transaction price allocated to the maintenance obligation is recognized as revenue ratably over the maintenance term. • Revenue from Professional Service contracts is recognized over time, generally using costs incurred or hours expended to measure progress. We have a history of reasonably estimating project status and the costs necessary to complete projects. A number of internal and external factors can affect these estimates, including labor rates, utilization and efficiency variances and specification and testing requirement changes. 36 Table of Contents Software Integrity Segment • We sell Software Integrity products in arrangements that provide customers the right to software licenses, maintenance updates and technical support. Over the term of these arrangements, the customer expects us to provide integral maintenance updates to the software licenses, which help customers protect their own software from new critical quality defects and potential security vulnerabilities. The licenses and maintenance updates serve together to fulfill our commitment to the customer as both work together to provide functionality to the customer and represent a combined performance obligation. We recognize revenue for the combined performance obligation over the term of the arrangement. Most of our customer arrangements involve hundreds of products and various license rights, and our customers bargain with us over many aspects of these arrangements. For example, they often demand a broader portfolio of solutions, support and services and seek more favorable terms such as expanded license usage, future purchase rights and other unique rights at an overall lower total cost. No single factor typically drives our customers’ buying decisions, and we compete on all fronts to serve customers in highly competitive markets. Customers generally negotiate the total value of the arrangement rather than just unit pricing or volumes. Total Revenue Semiconductor & System Design Segment Software Integrity Segment Total Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) $3,327.2 $ 3,026.1 $ 2,840.6 $ 301.1 10% $ 185.5 358.1 $3,685.3 $ 334.6 3,360.7 $ 280.5 3,121.1 $ 23.5 324.6 7% 10% $ 54.1 239.6 7% 19% 8% The overall growth of our business has been the primary driver of the increase in our revenue. Our revenues are subject to fluctuations, primarily due to customer requirements including the timing and value of contract renewals. For example, we experience fluctuations in our revenue due to factors such as the timing of IP product sales, consulting projects, Flexible Spending Account (FSA) drawdowns, royalties, and hardware sales. As revenue from IP products sales and hardware sales are recognized upfront, customer demand and timing requirements for such IP products and hardware have resulted in increased variability of our total revenue. The increase in total revenue for fiscal 2020 compared to fiscal 2019 was primarily attributable to the continued organic growth of the business in time-based and upfront IP license products, and higher maintenance and service revenue. The increase in total revenue for fiscal 2019 compared to fiscal 2018 was primarily attributable to the continued business growth in all product categories, and higher revenue of $102.5 million recognized under new revenue standard ASC 606 compared with revenue recognized under old revenue standard ASC 605. The increase was partially offset by approximately $46.0 million of additional revenue due to one extra week in fiscal 2018. For a discussion of revenue by geographic areas, see Note 15 of Notes to Consolidated Financial Statements. Time-Based Products Revenue Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) $ 2,365.2 $ 2,198.0 $ 2,303.3 $ 167.2 8% $ (105.3) (5)% Percentage of total revenue 64% 65% 74% The increase in time-based products revenue for fiscal 2020 compared to fiscal 2019 was primarily attributable to an increase in TSL license revenue from arrangements booked in prior periods. 37 Table of Contents The decrease in time-based products revenue for fiscal 2019 compared to fiscal 2018 was primarily attributable to the impact of lower revenue recognized under ASC 606 of $206.9 million offset by an increase in TSL license revenue from arrangements booked in prior periods. Upfront Products Revenue Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) $ 735.6 $ 619.8 $ 357.7 $ 115.8 19% $ 262.1 73% Percentage of total revenue 20% 18% 11% Changes in upfront products revenue are generally attributable to normal fluctuations in the extent and timing of customer requirements, which can drive the amount of upfront orders and revenue in any particular period. The increase in upfront products revenue for fiscal 2020 compared to fiscal 2019 was primarily due to an increase in the sale of IP products driven by higher demand from customers. The increase in upfront products revenue for fiscal 2019 compared to fiscal 2018 was primarily due to an increase in the sale of IP products driven by higher demand from customers and higher IP revenue recognized upfront under ASC 606 of $235.4 million. Upfront products revenue as a percentage of total revenue will likely fluctuate based on the timing of IP products and hardware sales. Such fluctuations will continue to be impacted by the timing of shipments due to customer requirements. Maintenance and Service Revenue Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 177.4 $ 179.0 $ 100.4 $ (1.6) (1)% $ 78.6 (dollars in millions) Maintenance revenue $ Professional service and other revenue 407.1 363.9 359.6 Total $ 584.5 $ 542.9 $ 460.0 $ Percentage of total revenue 16% 17% 15% 43.2 41.6 12 % 8 % $ 4.3 82.9 78% 1% 18% Maintenance revenue for fiscal 2020 remained relatively flat compared to fiscal 2019, primarily due to a decrease in the volume and type of arrangements that include maintenance. The increase in maintenance revenue for fiscal 2019 compared to fiscal 2018 was primarily due to higher revenue under ASC 606 of $74.0 million and an increase in the volume of arrangements that include maintenance. The increase in professional services and other revenue for fiscal 2020 compared to fiscal 2019 was primarily due to an increase in the volume of IP consulting projects and the timing of IP consulting projects. The increase in professional services and other revenue for fiscal 2019 compared to fiscal 2018 was primarily due to the timing of IP consulting projects. The increase was offset by the impact of the extra week in fiscal 2018. 38 Table of Contents Cost of Revenue and Operating Expenses Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) Cost of revenue $ 794.7 $ 752.9 $ 735.9 Operating expenses 2,270.5 2,087.5 2,024.9 Total $ 3,065.2 $ 2,840.4 $ 2,760.8 $ $ 41.8 183.0 224.8 6% $ 9% 8% $ 17.0 62.6 79.6 2% 3% 3% Total expenses as a percentage of total revenue 83% 85% 88% Our expenses are generally impacted by changes in personnel-related costs including salaries, benefits, stock- based compensation and variable compensation; changes in amortization; changes in hardware related direct costs; and changes in selling and marketing expenses. The increase in our expenses compared to prior fiscal years was primarily due to an increase in personnel-related costs, driven by increased headcount from our overall growth, and fixed charges including information technology (IT) and facilities. Foreign currency fluctuations, net of hedging, did not have a significant impact on expenses during fiscal 2020 as compared to fiscal 2019, or fiscal 2019 as compared to fiscal 2018. See Note 6 of Notes to Consolidated Financial Statements for details on our foreign exchange hedging programs. Cost of Revenue Cost of products revenue Cost of maintenance and service revenue Amortization of intangible assets Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) $ 487.3 $ 459.1 $ 448.4 $ 28.2 6 % $ 10.7 2 % 254.9 234.2 203.5 52.5 59.6 84.0 20.7 (7.1) 41.8 9 % 30.7 15 % (12)% 6 % $ (24.4) 17.0 (29)% 2 % Total $ 794.7 $ 752.9 $ 735.9 $ Percentage of total revenue 22% 22% 24% We divide cost of revenue into three categories: cost of products revenue, cost of maintenance and service revenue, and amortization of intangible assets. We segregate expenses directly associated with consulting and training services from cost of products revenue associated with internal functions providing license delivery and post-customer contract support services. We then allocate these group costs between cost of products revenue and cost of maintenance and service revenue based on products and maintenance and service revenue reported. Cost of products revenue. Cost of products revenue includes costs related to products sold and software licensed, hardware related direct costs, allocated operating costs related to product support and distribution costs, royalties paid to third-party vendors, and the amortization of capitalized research and development costs associated with software products that had reached technological feasibility. Cost of maintenance and service revenue. Cost of maintenance and service revenue includes operating costs related to maintaining the infrastructure necessary to operate our services and costs to deliver our consulting services, such as hotline and on-site support, production services and documentation of maintenance updates. We expect our cost of maintenance and service revenue to increase in future periods because of recent acquisitions, but we do not expect the impact to be material to our total cost of revenue. Amortization of intangible assets. Amortization of intangible assets, which is recorded to cost of revenue and operating expenses, includes the amortization of core/developed technology, trademarks, trade names, customer relationships, covenants not to compete related to acquisitions and certain contract rights related to acquisitions. The increase in cost of revenue for fiscal 2020 compared to fiscal 2019 was primarily due to increases of $25.6 million in personnel-related costs as a result of headcount increases from organic hiring and acquisitions, $16.1 39 Table of Contents million in consulting costs primarily related to servicing IP consulting arrangements, $5.1 million in depreciation and maintenance expenses, and $2.8 million in hardware related direct costs, partially offset by a decrease of $7.1 million in amortization of intangible assets. The increase in cost of revenue for fiscal 2019 compared to fiscal 2018 was primarily due to an increase of $21.5 million in personnel-related costs as a result of headcount increases from organic hiring, $11.3 million in consulting costs primarily related to servicing IP consulting arrangements, $10.1 million in IT and facility expenses, and $5.3 million in depreciation and maintenance expenses, partially offset by a decrease of $24.4 million in amortization of intangible assets and one additional week of expenses of approximately $4.5 million in fiscal 2018. Changes in other cost of revenue categories for the above-mentioned periods were not individually material. Operating Expenses Research and Development Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) $ 1,279.0 $ 1,136.9 $ 1,084.8 $ 142.1 12% $ 52.1 5% Percentage of total revenue 35% 34% 35% The increase in research and development expenses for fiscal 2020 compared to fiscal 2019 was primarily due to increases of $124.5 million in personnel-related costs as a result of headcount increases, including those from acquisitions, $14.8 million in facility expenses, and $6.6 million in consultants and contractor costs, partially offset by lower deferred compensation expenses of $4.5 million. The increase in research and development expenses for fiscal 2019 compared to fiscal 2018 was primarily due to increases of $41.5 million in personnel-related costs as a result of headcount increases, including organic hiring and those from prior year acquisitions, $22.8 million in IT and facility expenses, and $5.5 million in consultants and contractor costs, partially offset by an additional week of expenses of approximately $19.3 million in fiscal 2018. Changes in other research and development expense categories for the above-mentioned periods were not individually material. Sales and Marketing Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) $ 632.0 $ 632.9 $ 623.0 $ (0.9) — % $ 9.9 2% Percentage of total revenue 17% 19% 20% Sales and marketing expenses remained relatively flat for fiscal 2020 compared to fiscal 2019, primarily due to a decrease of $19.5 million that included reduced travel and marketing expenses as a result of COVID-19 restrictions, partially offset by an increase in personnel-related costs of $19.1 million. The increase in sales and marketing expenses for fiscal 2019 compared to fiscal 2018 was primarily due to increases of $11.3 million in personnel-related costs as a result of headcount increases and $4.3 million in IT and facility expenses, partially offset by an additional week of expenses of approximately $5.8 million in fiscal 2018. For fiscal 2019, commission expenses were $4.1 million lower compared to commission expenses for fiscal 2018 which was accounted for under ASC 605. Changes in other sales and marketing expense categories for the above-mentioned periods were not individually material. 40 Table of Contents General and Administrative Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) $ 284.5 $ 229.2 $ 262.6 $ 55.3 24% $ (33.4) (13)% Percentage of total revenue 8% 7% 8% The increase in general and administrative expenses for fiscal 2020 compared to fiscal 2019 was primarily due to an increase of $24.2 million in personnel-related expenses, a legal settlement of $18.3 million in our favor in the first quarter of fiscal 2019, and an increase of $13.1 million in depreciation and maintenance expenses, partially offset by a decrease of $1.6 million in professional service costs. The decrease in general and administrative expenses for fiscal 2019 compared to fiscal 2018 was primarily due to a $26.0 million litigation settlement in the third quarter of fiscal 2018, a legal settlement of $18.3 million in our favor in the first quarter of fiscal 2019, and an additional week of expenses of approximately $4.1 million in fiscal 2018. The decreases were partially offset by a $7.1 million increase in personnel-related costs. Changes in other general and administrative expense categories for the above-mentioned periods were not individually material. Change in Fair Value of Deferred Compensation The income or loss arising from the change in fair value of our non-qualified deferred compensation plan obligation is recorded in cost of sales and each functional operating expense, with the offsetting change in the fair value of the related assets recorded in other income (expense), net. These assets are classified as trading securities. There is no impact to our net income from the fair value changes in our deferred compensation plan obligation and asset. Amortization of Intangible Assets Amortization of intangible assets includes the amortization of contract rights and the amortization of core/developed technology, trademarks, trade names, customer relationships, and in-process research and development related to acquisitions completed in prior years. Amortization expense is included in the consolidated statements of operations as follows: Included in cost of revenue Included in operating expenses Total Percentage of total revenue $ $ Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) 52.5 $ 59.6 $ 84.0 $ (7.1) (12)% $ (24.4) (29)% 38.8 91.3 41.3 41.6 $ 100.9 $ 125.6 $ (2.5) (9.6) (6)% (10)% $ (0.3) (24.7) (1)% (20)% 2% 3% 4% The decrease in amortization of intangible assets for fiscal 2020 compared to fiscal 2019 was primarily due to intangible assets that were fully amortized, partially offset by additions of acquired intangible assets in fiscal 2020. The decrease in amortization of intangible assets for fiscal 2019 compared to fiscal 2018 was primarily due to intangible assets that were fully amortized, partially offset by additions of acquired intangible assets in fiscal 2019. Restructuring Charges In the second quarter of fiscal 2019, our management approved, committed and initiated a restructuring plan (the Plan) as part of a business reorganization. Total charges under the Plan consisted primarily of severance, termination, and retirement benefits under the 2019 Voluntary Retirement Program (VRP). 41 Table of Contents The following is a summary of our restructuring activities: Fiscal Year Balance at Beginning of Period Costs Incurred Cash Payments Balance at End of Period 2020 2019 2018 $ $ $ 22.6 $ 8.1 $ 17.5 $ (in millions) 36.1 $ 47.2 $ 12.7 $ (57.4) $ (32.7) $ (22.1) $ 1.3 22.6 8.1 See Note 2 of Notes to Consolidated Financial Statements for additional information. Other Income (Expense), Net Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 3.6 $ (5.1) 6.9 $ 5.3 $ (11.7) (15.6) (3.3) 6.6 (48)% $ (56)% 1.6 3.9 30 % (25)% (dollars in millions) Interest income $ Interest expense Gain (loss) on assets related to executive deferred compensation plan Foreign currency exchange gain (loss) Other, net Total 21.5 27.8 5.5 (7.5) 18.0 $ 3.6 (1.3) 25.3 $ $ 4.6 3.6 5.4 3.3 $ (6.3) 1.9 (6.2) (7.3) (23)% 23.2 504 % 53 % 477 % (29)% $ — (6.7) 22.0 — % (124)% 667 % The net decrease in other income (expense) for fiscal 2020 as compared to fiscal 2019 was primarily due to changes in the fair value of our executive deferred compensation plan assets, partially offset by lower interest expenses due to a lower debt balance. The net increase in other income (expense) in fiscal 2019 as compared to fiscal 2018 was also primarily due to changes in the fair value of our executive deferred compensation plan assets. Segment Operating Results We do not allocate certain operating expenses managed at a consolidated level to our reportable segments. These unallocated expenses consist primarily of stock-based compensation expense, amortization of intangible assets, restructuring, litigation and acquisition-related costs. See Note 15 of the Notes to Consolidated Financial Statements for more information. Semiconductor & System Design Segment Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) Adjusted operating income Adjusted operating margin $ 990.8 $ 806.6 $ 701.3 $ 184.2 30% 27% 25% 3% 23% $ 105.3 11% 2% 15% 8% The increase in adjusted operating income for fiscal 2020 compared to fiscal 2019 was primarily due to an increase in revenue from arrangements booked in prior periods. The increase in adjusted operating income for fiscal 2019 compared to fiscal 2018 was primarily due to higher revenue recognized under ASC 606 of $97.5 million and an increase in revenue from arrangements booked in prior periods, partially offset by approximately $12.0 million due to an additional week of operating income in fiscal 2018. 42 Table of Contents Software Integrity Segment Adjusted operating income Adjusted operating margin Year Ended October 31, $ Change % Change $ Change % Change 2020 2019 2018 2019 to 2020 2018 to 2019 $ 40.8 $ (dollars in millions) $ $ (10.6) 32.2 11% 10% (4)% 8.6 1% 27% $ 42.8 10% 14% (404)% (350)% The increase in adjusted operating income for fiscal 2020 compared to fiscal 2019 was primarily due to an increase in revenue from arrangements booked in prior periods. The increase in adjusted operating income for fiscal 2019 compared to fiscal 2018 was primarily due to an increase in revenue from arrangements booked in prior periods and the impact of higher revenue recognized under ASC 606 of $5.0 million. Income Taxes The Tax Cuts and Jobs Act (the Tax Act), enacted on December 22, 2017, lowered the statutory federal corporate income tax rate from 35% to 21% effective on January 1, 2018. Beginning in fiscal 2019, our annual statutory federal corporate tax rate is 21%. Our effective tax rate for fiscal 2020 was (4.0%), which included a tax benefit of $39.2 million of U.S. federal research tax credit, a foreign derived intangible income (FDII) deduction of $24.3 million, and excess tax benefits from stock-based compensation of $72.3 million. Our effective tax rate for fiscal 2019 was 2.4%, which included a tax benefit of $28.1 million related to the realizability of U.S. foreign tax credits related to the transfer of intangibles associated with the tax restructuring in fiscal 2018, a U.S. federal research tax credit of $34.5 million, a FDII deduction of $26.6 million, and excess tax benefits from stock-based compensation of $40.5 million. Our effective tax rate for fiscal 2018 was (19.0%), which included a tax benefit of $172.0 million relating to the restructuring of our foreign intellectual property rights, a U.S. federal research tax credit of $35.1 million, a tax benefit of $28.1 million arising from a settlement with the Internal Revenue Service (IRS) in fiscal 2017, and excess tax benefits from stock-based compensation of $31.0 million. These benefits were partially offset by tax expense of $63.1 million for a one-time transition tax on foreign earnings, $51.1 million due to re-measurement of U.S. deferred tax assets as a result of the Tax Act, and tax expense related to the integration of acquired technologies of $27.9 million. The integration of acquired technologies represents the income tax effect resulting from the transfer of certain intangible assets among company-controlled entities. These intangible assets generally result from the acquisition of technology by a company-controlled entity as part of a business or asset acquisition. The Tax Act required us to pay a one-time transition tax on previously untaxed earnings represented by foreign cash and certain other net current assets, and 8% on the remaining earnings. In fiscal 2018, we recorded a tax expense of $63.1 million. Based on subsequent judicial rulings in fiscal 2019 (including Altera Corp. et al. v. Commissioner and the Hungarian Administrative Court ruling), we recorded a tax benefit of $17.9 million related to the one-time transition tax. See Note 13 of Notes to Consolidated Financial Statements for further discussion. The Tax Act includes certain new tax provisions listed below which apply to us beginning in fiscal 2019. • A tax on global intangible low-tax income (GILTI), which is determined annually based on our aggregate foreign subsidiaries' income in excess of certain qualified business asset investment return. In fiscal 2019, we adopted an accounting policy to account for the tax effects of GILTI in the period that it is subject to such tax. • A base erosion and anti-abuse tax (BEAT), which functions as a minimum tax that partially disallows deductions for certain related party transactions and certain tax credits. • A special tax deduction for FDII, which, in general, allows a deduction of certain intangible income earned in the U.S. and derived from foreign sources. 43 Table of Contents The Tax Act also provides an exemption from federal income taxes for distributions from foreign subsidiaries made after December 31, 2017 that were not subject to the one-time transition tax. We have provided for foreign withholding taxes on undistributed earnings of certain of our foreign subsidiaries to the extent such earnings are no longer considered to be indefinitely reinvested in the operations of those subsidiaries. In July 2017, the Hungarian Tax Authority (the HTA) issued a final assessment against our Hungarian subsidiary (Synopsys Hungary) for fiscal years 2011 through 2013. The HTA applied withholding taxes on certain payments made to affiliates, resulting in an aggregate tax assessment of approximately $25.0 million and interest and penalties of $11.0 million. We paid the tax assessments, penalties and interest in the first quarter of 2018 as required by law and recorded these amounts as prepaid taxes on our balance sheet. On April 30, 2019, the Hungarian Administrative Court ruled against Synopsys Hungary. We filed an appeal with the Hungarian Supreme Court on July 5, 2019. In the second quarter of 2019, as a result of the Court's decision, we recorded a tax expense due to an unrecognized tax benefit of $17.4 million, which is net of estimated U.S. foreign tax credits for the tax assessments. The Hungarian Supreme Court heard our appeal on November 12, 2020 and issued a ruling from the bench to remand the case to the Hungarian Administrative Court for further proceedings. We expect to receive the Hungarian Supreme Court’s written decision in the first quarter of fiscal 2021. See Note 13 of Notes to Consolidated Financial Statements for further discussion of the provision for income taxes, the impacts related to the Tax Act, and the Hungarian audit. Liquidity and Capital Resources Our sources of cash and cash equivalents are funds generated from our business operations and funds that may be drawn down under our revolving credit and term loan facilities. We have considered the potential impact of the COVID-19 pandemic on our liquidity and capital resources. Although we have not observed any material effects on our liquidity, collections from customers or other working capital requirements due to the COVID-19 pandemic to date, there is substantial uncertainty that could result in greater variability as additional events and information become known. We believe that our existing balances of cash and cash equivalents will be sufficient to satisfy our working capital needs, capital asset purchases, share repurchases, acquisitions, debt repayments and other liquidity requirements associated with our existing operations. We are continuously evaluating the COVID-19 pandemic’s effects and taking steps to mitigate known risks, including potential constraints on our liquidity and capital resources as a result of customers’ reduced expenditures or disruptions to our supply chain. In light of that ongoing assessment, we may choose to temporarily defer certain expenditures due to the effects of the COVID-19 pandemic. As of October 31, 2020, we held an aggregate of $590.0 million in cash and cash equivalents in the United States and an aggregate of $645.7 million in our foreign subsidiaries. The Tax Act provides an exemption from federal income taxes for distributions from foreign subsidiaries made after December 31, 2017 that were not subject to the one-time transition tax. We have provided for foreign withholding taxes on undistributed earnings of certain of our foreign subsidiaries to the extent such earnings are no longer considered to be indefinitely reinvested in the operations of those subsidiaries. The following sections discuss changes in our consolidated balance sheets and statements of cash flow, and other commitments of our liquidity and capital resources during fiscal 2020. Cash and Cash Equivalents Year Ended October 31, 2020 2019 $ Change % Change (dollars in millions) Cash and cash equivalents $ 1,235.7 $ 728.6 $ 507.1 70% Cash and cash equivalents increased primarily due to cash from our operations and net proceeds from our credit facilities. The increase in cash and cash equivalents was partially offset by stock repurchases, repayment of debt, cash used for acquisition, and purchases of property and equipment. 44 Table of Contents Cash Flows Cash provided by operating activities Cash used in investing activities Cash provided by (used in) financing activities $ $ $ Cash Provided by Operating Activities Year Ended October 31, $ Change $ Change 2020 2019 2018 2019 to 2020 2018 to 2019 (dollars in millions) 991.3 $ 800.5 $ 424.4 $ 190.8 $ 376.1 (360.4) $ (235.9) $ (743.5) $ (124.5) $ 507.6 (140.6) $ (561.9) $ 5.1 $ 421.3 $ (567.0) We expect cash from our operating activities to fluctuate as a result of a number of factors, including the timing of our billings and collections, our operating results, and the timing and amount of tax and other liability payments. Cash provided by our operations is dependent primarily upon the payment terms of our license agreements. We generally receive cash from upfront arrangements much sooner than from time-based products revenue, in which the license fee is typically paid either quarterly or annually over the term of the license. Fiscal 2020 compared to fiscal 2019. The increase in cash provided by operating activities was primarily driven by higher net income, higher cash collections and lower disbursements in operations, including timing of vendor payments and other employee related expenses. Fiscal 2019 compared to fiscal 2018. The increase in cash provided by operating activities was primarily driven by higher net income and higher cash collections, partially offset by higher disbursements for operations, including vendor payments. Cash Used in Investing Activities Fiscal 2020 compared to fiscal 2019. The increase in cash used in investing activities was primarily due to higher cash paid for acquisitions of $164.4 million. Fiscal 2019 compared to fiscal 2018. The decrease in cash used in investing activities was primarily driven by higher cash paid for acquisitions in fiscal 2018 of $616.0 million. Cash Provided by (Used in) Financing Activities Fiscal 2020 compared to fiscal 2019. The decrease in cash used in financing activities was primarily due to lower debt repayments of $235.2 million and higher proceeds of $83.6 million from credit facilities drawdowns. Fiscal 2019 compared to fiscal 2018. Cash used in financing activities was higher primarily due to higher debt repayments of $228.8 million and lower proceeds from credit facilities drawdowns of $427.7 million. Accounts Receivable, net Year Ended October 31, 2020 2019 $ Change % Change (dollars in millions) Accounts receivable, net $ 780.7 $ 553.9 $ 226.8 41% Changes in our accounts receivable balance are primarily driven by the timing and volume of customer billing and collection activities. Working Capital Working capital is comprised of current assets less current liabilities, as shown on our consolidated balance sheets: 45 Table of Contents Current assets Current liabilities Working capital (deficit) Year Ended October 31, 2020 2019 $ Change % Change (dollars in millions) 2,549.2 $ 2,139.9 1,738.9 $ 1,752.5 409.3 $ (13.6) $ $ $ 810.3 387.4 422.9 47 % 22 % (3,110)% Increases in our working capital were primarily due to an increase in cash and cash equivalents of $507.1 million and an increase in accounts receivable of $226.8 million, partially offset by an increase in deferred revenue of $175.8 million and an increase in accounts payable and accrued liabilities of $117.2 million. We did not see a significant impact on our working capital during this period from the COVID-19 pandemic. Other Commitments — Credit and Term Loan Facilities As of October 31, 2020, we had $102.1 million outstanding balance, net of debt issuance costs, under the Term Loan, of which $75.0 million was classified as long-term liabilities. Outstanding principal payments under the Term Loan are due as follows: Fiscal year 2021 2022 Total (in thousands) 27,187 75,000 102,187 $ $ As of October 31, 2019, we had a $119.8 million outstanding balance, net of debt issuance costs, under the Term Loan, of which $102.2 million was classified as long-term liabilities. There was no outstanding balance under the Revolver as of October 31, 2020 and October 31, 2019. We expect our borrowings under the Revolver will fluctuate from quarter to quarter. Our Term Loan and Revolver borrowings bear interest at a floating rate based on a margin over our choice of market observable base rates as defined in the Credit Agreement. As of October 31, 2020, borrowings under the Term Loan bore interest at LIBOR +1.125% and the applicable interest rate for the Revolver was LIBOR +1.000%. In addition, commitment fees are payable on the Revolver at rates between 0.125% and 0.200% per year based on our leverage ratio on the daily amount of the revolving commitment. In July 2018, we entered into a 12-year 220.0 million RMB (approximately $33.0 million) credit agreement with a lender in China to support our facilities expansion. Borrowings bear interest at a floating rate based on the 5 year Loan Prime Rate plus 0.74%. As of October 31, 2020, we had $25.8 million outstanding under the agreement. See Note 6 of the Notes to Consolidated Financial Statements for additional information. Other As of October 31, 2020, our cash equivalents consisted of taxable money market mutual funds. We follow an established investment policy and set of guidelines to monitor, manage and limit our exposure to interest rate and credit risk. We proactively manage our cash equivalents balances and closely monitor our capital and stock repurchase expenditures to ensure ample liquidity. Additionally, we believe the overall credit quality of our portfolio is strong, with our global excess cash, and our cash equivalents, invested in banks and securities with a weighted-average credit rating exceeding AA. The majority of our investments are classified as Level 1 or Level 2 investments, as measured under fair value guidance. See Notes 6 and 7 of Notes to Consolidated Financial Statements. We believe that our current cash and cash equivalents, cash generated from operations, and available credit under our Revolver will satisfy our routine business requirements for at least the next 12 months and the foreseeable future. 46 Table of Contents Contractual Obligations Contractual obligations as of October 31, 2020 are as follows: Total Fiscal 2021 Fiscal 2022/ Fiscal 2023 Fiscal 2024/ Fiscal 2025 Thereafter Other (in thousands) Lease Obligations: Operating Leases(1) Purchase Obligations(2) Term Loan(3) Other Obligations(4) Long term accrued income taxes(5) Total 87,592 $ 155,057 $ 125,958 $ 290,952 $ $ 659,559 $ 420,585 102,187 26,778 273,101 27,187 26,778 25,178 — 147,484 75,000 — — — — — — — — — — — — — — 25,178 $1,234,287 $ 414,658 $ 377,541 $ 125,958 $ 290,952 $ 25,178 (1) (2) (3) (4) (5) See Note 8 of Notes to Consolidated Financial Statements. Purchase obligations represent an estimate of all open purchase orders and contractual obligations in the ordinary course of business for which we have not received the goods or services as of October 31, 2020. Although open purchase orders are considered enforceable and legally binding, the terms generally allow us the option to cancel, reschedule, and adjust our requirements based on our business needs prior to the delivery of goods or performance of services. These commitments relate to the principal of the Term Loan and a credit facility as discussed in Other Commitments above. These other obligations include fees associated with our credit facility. Long-term accrued income taxes represent uncertain tax benefits as of October 31, 2020. Currently, a reasonably reliable estimate of timing of payments related to uncertain tax benefits in individual years beyond fiscal 2020 cannot be made due to uncertainties in timing of the commencement and settlement of potential tax audits. The expected timing of payments of the obligations discussed above is estimated based on current information. Timing of payment and actual amounts paid may be different depending on the time of receipt of goods or services or changes to agreed-upon amounts for some obligations. Off-Balance Sheet Arrangements As of October 31, 2020, we did not have any off-balance sheet arrangements, as defined in Item 303(a)(4)(ii) of SEC Regulation S-K. Item 7A. Quantitative and Qualitative Disclosures About Market Risk Interest Rate Risk. Our exposure to market risk for changes in interest rates relates to our cash, cash equivalents, and outstanding debt. As of October 31, 2020, all of our cash, cash equivalents, and debt were at short-term variable or fixed interest rates. While par value generally approximates fair value on variable instruments, rising interest rates over time would increase both our interest income and our interest expense. The primary objective of our investment activities is to preserve the principal while at the same time maximizing yields without significantly increasing the risk. To achieve this objective, we maintain our portfolio of investments in a mix of tax-exempt and taxable instruments that meet high credit quality standards, as specified in our investment policy. None of these investments are held for trading purposes. Our policy also limits the amount of credit exposure to any one issue, issuer and type of instrument. 47 Table of Contents Our cash equivalents and debt by fiscal year of expected maturity and average interest rates as of October 31, 2020 are as follows: Maturing in Year Ending October 31, 2021 2022 2023 2024 2025 and thereafter Total Fair Value (in thousands) Cash & Cash equivalents $ 1,097,122 $1,097,122 $ 1,097,122 Approx. average interest rate Short-term debt (variable rate): Term Loan Average interest rate 0.13% $ 27,187 $ 75,000 — — $ 102,187 $ 102,187 LIBOR + 1.125% Credit Facility in China $ 25,823 $ 25,823 $ 25,823 Average interest rate LPR + 0.74% of such rate Foreign Currency Risk. We operate internationally and are exposed to potentially adverse movements in currency exchange rates. The functional currency of the majority of our active foreign subsidiaries is the foreign subsidiary’s local currency. We enter into hedges in the form of foreign currency forward contracts to reduce our exposure to foreign currency rate changes on non-functional currency denominated forecasted transactions and balance sheet positions including: (1) certain assets and liabilities, (2) shipments forecasted to occur within approximately one month, (3) future billings and revenue on previously shipped orders, and (4) certain future intercompany invoices denominated in foreign currencies. The foreign currency contracts are carried at fair value and denominated in various currencies as listed in the tables below. The duration of forward contracts usually ranges from one month to 22 months. See Note 2 and Note 6 of Notes to Consolidated Financial Statements for a description of our accounting for foreign currency contracts. The success of our hedging activities depends upon the accuracy of our estimates of various balances and transactions denominated in non-functional currencies. To the extent our estimates are correct, gains and losses on our foreign currency contracts will be offset by corresponding losses and gains on the underlying transactions. For example, if the Euro were to depreciate by 10% compared to the U.S. dollar prior to the settlement of the Euro forward contracts listed in the table below providing information as of October 31, 2020, the fair value of the contracts would decrease by approximately $7.6 million, and we would be required to pay approximately $7.6 million to the counterparty upon contract maturity. At the same time, the U.S. dollar value of our Euro-based expenses would decline, resulting in positive cash flow of approximately $7.6 million that would offset the loss and negative cash flow on the maturing forward contracts. Net unrealized gain of approximately $3.4 million and net unrealized loss of $4.5 million, net of tax, are included in accumulated other comprehensive income (loss) in our consolidated balance sheets as of October 31, 2020 and 2019, respectively. If estimates of our balances and transactions prove inaccurate, we will not be completely hedged, and we will record a gain or loss, depending upon the nature and extent of such inaccuracy. We do not use foreign currency forward contracts for speculative or trading purposes. We enter into foreign exchange forward contracts with financial institutions and have not experienced nonperformance by counterparties. Further, we anticipate performance by all counterparties to such agreements. 48 Table of Contents Information about the gross notional values of our foreign currency contracts as of October 31, 2020 was as follows: Forward Contract Values: Japanese yen Indian rupee Euro Hungarian forint Canadian dollar Chinese renminbi Taiwanese dollar British pound sterling Korean won Armenian dram Israel shekel Singapore dollar Swiss franc Gross Notional Amount in U.S. Dollars (in thousands) Average Contract Rate $ $ 472,000 138,080 76,076 70,000 45,658 43,130 38,735 21,826 21,547 21,243 20,116 8,277 4,545 981,233 104.706 76.984 1.141 308.939 1.339 6.725 28.751 1.262 1,183.202 479.960 3.369 1.359 0.909 Equity Risk. We had approximately $13.2 million and $11.0 million of non-marketable equity securities in privately held companies as of October 31, 2020 and 2019, respectively. The investments that we do not have the ability to exercise significant influence are accounted using the measurement alternative when the fair value of the investment is not readily determinable. Securities accounted for as equity method investments are recorded at cost plus the proportional share of the issuers’ income or loss, which is recorded in the other income (expense), net. Investments are written down to the fair value when an event or circumstance which impacts the fair value of these investments indicates that the investments are impaired and the fair value of the investments is less than the carrying value. None of our investments are held for speculation purposes. 49 Table of Contents Item 8. Financial Statements and Supplementary Data Report of Independent Registered Public Accounting Firm To the Stockholders and Board of Directors Synopsys, Inc.: Opinions on the Consolidated Financial Statements and Internal Control Over Financial Reporting We have audited the accompanying consolidated balance sheets of Synopsys, Inc. and subsidiaries (the Company) as of October 31, 2020 and November 2, 2019, the related consolidated statements of operations, comprehensive income, stockholders’ equity, and cash flows for each of the years in the three-year period ended October 31, 2020, and the related notes (collectively, the consolidated financial statements). We also have audited the Company’s internal control over financial reporting as of October 31, 2020, based on criteria established in Internal Control — Integrated Framework (2013) issued by the Committee of Sponsoring Organizations of the Treadway Commission. In our opinion, the consolidated financial statements referred to above present fairly, in all material respects, the financial position of the Company as of October 31, 2020 and November 2, 2019, and the results of its operations and its cash flows for each of the years in the three-year period ended October 31, 2020, in conformity with U.S. generally accepted accounting principles. Also in our opinion, the Company maintained, in all material respects, effective internal control over financial reporting as of October 31, 2020 based on criteria established in Internal Control — Integrated Framework (2013) issued by the Committee of Sponsoring Organizations of the Treadway Commission. Change in Accounting Principle As discussed in Notes 2 and 3 to the consolidated financial statements, the Company has changed its method of accounting for leases as of November 3, 2019 due to the adoption of Financial Accounting Standards Board’s (FASB) Accounting Standards Update (ASU) 2016-02, “Leases (Topic 842),” and changed its method of accounting for revenue from contracts with customers and sales commissions as of November 4, 2018 due to the adoption of FASB’s Accounting Standards Codification (ASC) Topic 606, “Revenue from Contracts with Customers (ASC 606),” and Subtopic 340-40, “Other Assets and Deferred Costs - Contracts with Customers (ASC 340-40).” Basis for Opinions The Company’s management is responsible for these consolidated financial statements, for maintaining effective internal control over financial reporting, and for its assessment of the effectiveness of internal control over financial reporting, included in the accompanying Management’s Report on Internal Control Over Financial Reporting appearing under item 9A(b). Our responsibility is to express an opinion on the Company’s consolidated financial statements and an opinion on the Company’s internal control over financial reporting based on our audits. We are a public accounting firm registered with the Public Company Accounting Oversight Board (United States) (PCAOB) and are required to be independent with respect to the Company in accordance with the U.S. federal securities laws and the applicable rules and regulations of the Securities and Exchange Commission and the PCAOB. We conducted our audits in accordance with the standards of the PCAOB. Those standards require that we plan and perform the audits to obtain reasonable assurance about whether the consolidated financial statements are free of material misstatement, whether due to error or fraud, and whether effective internal control over financial reporting was maintained in all material respects. Our audits of the consolidated financial statements included performing procedures to assess the risks of material misstatement of the consolidated financial statements, whether due to error or fraud, and performing procedures that respond to those risks. Such procedures included examining, on a test basis, evidence regarding the amounts and disclosures in the consolidated financial statements. Our audits also included evaluating the accounting principles used and significant estimates made by management, as well as evaluating the overall presentation of the consolidated financial statements. Our audit of internal control over financial reporting included obtaining an understanding of internal control over financial reporting, assessing the risk that a material weakness exists, and testing and evaluating the design and operating effectiveness of internal control based on the assessed risk. Our audits also included performing such other procedures as we considered necessary in the circumstances. We believe that our audits provide a reasonable basis for our opinions. 50 Table of Contents Definition and Limitations of Internal Control Over Financial Reporting A company’s internal control over financial reporting is a process designed to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles. A company’s internal control over financial reporting includes those policies and procedures that (1) pertain to the maintenance of records that, in reasonable detail, accurately and fairly reflect the transactions and dispositions of the assets of the company; (2) provide reasonable assurance that transactions are recorded as necessary to permit preparation of financial statements in accordance with generally accepted accounting principles, and that receipts and expenditures of the company are being made only in accordance with authorizations of management and directors of the company; and (3) provide reasonable assurance regarding prevention or timely detection of unauthorized acquisition, use, or disposition of the company’s assets that could have a material effect on the financial statements. Because of its inherent limitations, internal control over financial reporting may not prevent or detect misstatements. Also, projections of any evaluation of effectiveness to future periods are subject to the risk that controls may become inadequate because of changes in conditions, or that the degree of compliance with the policies or procedures may deteriorate. Critical Audit Matter The critical audit matter communicated below is a matter arising from the current period audit of the consolidated financial statements that was communicated or required to be communicated to the audit committee and that: (1) relates to accounts or disclosures that are material to the consolidated financial statements and (2) involved our especially challenging, subjective, or complex judgments. The communication of a critical audit matter does not alter in any way our opinion on the consolidated financial statements, taken as a whole, and we are not, by communicating the critical audit matter below, providing a separate opinion on the critical audit matter or on the accounts or disclosures to which it relates. Assessment of recognition of uncertain tax provisions As discussed in Notes 2 and 13 to the consolidated financial statements, as of October 31, 2020 the Company recognized uncertain tax positions. The Company recognizes tax benefits from uncertain tax positions when it is determined that it is more likely than not that the position will be sustained on audit. As of October 31, 2020, the Company recorded a liability for gross unrecognized tax benefits, excluding associated interest and penalties, of $83.1 million. We identified the assessment of the recognition of uncertain tax positions within the U.S. federal jurisdiction as a critical audit matter. Complex auditor judgment, including the involvement of tax professionals with specialized skills and knowledge, was required to evaluate the Company’s interpretation and application of U.S. federal tax law. The following are the primary procedures we performed to address this critical audit matter. We evaluated the design and tested the operating effectiveness of certain internal controls over the Company’s accounting process for uncertain tax positions, including controls related to the interpretation of U.S. federal tax law and its application in the liability recognition process. Since U.S. federal tax law is complex and often subject to interpretation, we involved tax professionals with specialized skills and knowledge, who assisted in: • Obtaining an understanding of the Company’s overall tax structure and assessing the Company’s compliance with U.S. federal tax laws, • Evaluating U.S. federal tax law and assessing the Company’s interpretation of the tax law, and • Inspecting correspondence, assessments, and settlements from taxing authorities to assess the Company’s determination of its tax positions having more than a 50% likelihood to be sustained upon examination. /s/ KPMG LLP We have served as the Company’s auditor since 1992. Santa Clara, California December 14, 2020 51 Table of Contents SYNOPSYS, INC. CONSOLIDATED BALANCE SHEETS (In thousands, except par value amounts) ASSETS Current assets: Cash and cash equivalents Accounts receivable, net Inventories, net Income taxes receivable and prepaid taxes Prepaid and other current assets Total current assets Property and equipment, net Operating lease right-of-use assets, net Goodwill Intangible assets, net Long-term prepaid taxes Deferred income taxes Other long-term assets Total assets LIABILITIES AND STOCKHOLDERS’ EQUITY Current liabilities: Accounts payable and accrued liabilities Operating lease liabilities, current Accrued income taxes Deferred revenue Short-term debt Total current liabilities Operating lease liabilities, non-current Long-term accrued income taxes Long-term deferred revenue Long-term debt Other long-term liabilities Total liabilities Stockholders’ equity: Preferred stock, $0.01 par value: 2,000 shares authorized; none outstanding Common stock, $0.01 par value: 400,000 shares authorized; 152,618 and 150,331 shares outstanding, respectively Capital in excess of par value Retained earnings Treasury stock, at cost: 4,643 and 6,930 shares, respectively Accumulated other comprehensive income (loss) Total Synopsys stockholders’ equity Non-controlling interest Total stockholders’ equity Total liabilities and stockholders’ equity October 31, 2020 2019 1,235,653 $ 780,709 192,333 32,355 308,167 2,549,217 483,818 465,818 3,365,114 254,322 8,276 497,546 405,951 8,030,062 $ 623,664 $ 73,173 27,738 1,388,263 27,084 2,139,922 462,411 25,178 104,850 100,823 284,511 3,117,695 728,597 553,895 141,518 24,855 290,052 1,738,917 429,532 — 3,171,179 279,374 15,503 390,129 380,526 6,405,160 506,459 — 15,904 1,212,476 17,614 1,752,453 — 29,911 90,102 120,093 323,725 2,316,284 — — 1,528 1,653,166 3,795,397 (488,613) (54,074) 4,907,404 4,963 4,912,367 8,030,062 $ 1,503 1,635,455 3,164,144 (625,642) (92,447) 4,083,013 5,863 4,088,876 6,405,160 $ $ $ $ See accompanying notes to consolidated financial statements. 52 Table of Contents SYNOPSYS, INC. CONSOLIDATED STATEMENTS OF OPERATIONS (In thousands, except per share amounts) Revenue: Time-based products Upfront products Maintenance and service Total revenue Cost of revenue: Products Maintenance and service Amortization of intangible assets Total cost of revenue Gross margin Operating expenses: Research and development Sales and marketing General and administrative Amortization of intangible assets Restructuring charges Total operating expenses Operating income Other income (expense), net Income before income taxes Provision (benefit) for income taxes Net income Net income (loss) attributed to non-controlling interest Net income attributed to Synopsys Net income per share: Basic Diluted Shares used in computing per share amounts: Basic Diluted Year Ended October 31, 2020 2019 2018 $ 2,365,199 $ 735,572 584,510 3,685,281 2,197,965 $ 619,791 542,938 3,360,694 2,303,317 357,698 460,043 3,121,058 487,307 254,931 52,452 794,690 2,890,591 1,279,022 632,010 284,530 38,829 36,059 2,270,450 620,141 18,018 638,159 (25,288) 663,447 (900) 664,347 $ 459,127 234,196 59,623 752,946 2,607,748 1,136,932 632,890 229,218 41,291 47,186 2,087,517 520,231 25,275 545,506 13,139 532,367 — 532,367 $ 448,430 203,434 84,034 735,898 2,385,160 1,084,822 622,978 262,560 41,630 12,945 2,024,935 360,225 3,318 363,543 (68,975) 432,518 — 432,518 4.40 $ 4.27 $ 3.55 $ 3.45 $ 2.90 2.82 151,135 155,706 149,872 154,190 149,036 153,393 $ $ $ See accompanying notes to consolidated financial statements. 53 Table of Contents SYNOPSYS, INC. CONSOLIDATED STATEMENTS OF COMPREHENSIVE INCOME (In thousands) Net income Other comprehensive income (loss): Year Ended October 31, 2020 663,447 $ 2019 532,367 $ 2018 432,518 $ Change in foreign currency translation adjustment 30,466 1,360 (18,882) Cash flow hedges: Deferred gains (losses), net of tax of $(3,192), $(2,009), and $4,675 for fiscal years 2020, 2019 and 2018, respectively Reclassification adjustment on deferred (gains) losses included in net income, net of tax of $176, $(3,672), and $2,207 for fiscal years 2020, 2019 and 2018, respectively Other comprehensive income (loss), net of tax effects Comprehensive income Less: Net income (loss) attributed to non-controlling interest 7,834 4,733 (17,428) 73 38,373 701,820 (900) 14,637 20,730 553,097 — (10,888) (47,198) 385,320 — Comprehensive income attributed to Synopsys $ 702,720 $ 553,097 $ 385,320 See accompanying notes to consolidated financial statements. 54 Table of Contents SYNOPSYS, INC. CONSOLIDATED STATEMENTS OF STOCKHOLDERS’ EQUITY (In thousands) Balance at October 31, 2017 Net income Retained earnings adjustment due to adoption of an accounting standard in reclassification of certain tax effects from accumulated other comprehensive income (loss) Other comprehensive income (loss), net of tax effects Purchases of treasury stock Equity forward contract Common stock issued, net of shares withheld for employee taxes Stock-based compensation Non-controlling interest in an equity investment Balance at October 31, 2018 Net income Retained earnings adjustment due to adoption of accounting standards related to revenue Retained earnings adjustment due to adoption of an accounting standard related to income taxes Other comprehensive income (loss), net of tax effects Purchases of treasury stock Common stock issued, net of shares withheld for employee taxes Stock-based compensation Balance at October 31, 2019 Net income Other comprehensive income (loss), net of tax effects Purchases of treasury stock Common stock issued, net of shares withheld for employee taxes Common Stock Shares Amount 150,445 $ 1,505 Capital in Excess of Par Value $1,622,429 Retained Earnings Treasury Stock Accumulated Other Comprehensive Income (Loss) Total Synopsys Stockholders’ Equity Non- controlling Interest Stockholders' Equity $ (426,208) $ (65,979) $ 3,275,620 432,518 $ 4,104 $ 3,279,724 432,518 $2,143,873 432,518 (293) (4,688) (47) 47 20,000 (420,000) (47,198) 3,508 35 (136,522) (32,410) 248,526 138,876 149,265 $ 1,493 $1,644,830 $ (597,682) $ (113,177) $ $2,543,688 532,367 257,594 (130,544) (2,732) (27) 27 (329,185) 3,798 37 (163,198) (38,961) 301,225 20,730 150,331 $ 1,503 153,796 $1,635,455 $3,164,144 664,347 $ (625,642) $ (92,447) $ 38,373 (1,585) (14) 14 (242,078) 3,872 39 (230,887) (33,094) 379,107 (293) (47,198) (420,000) 20,000 79,629 138,876 — 3,479,152 532,367 257,594 (130,544) 20,730 (329,185) 99,103 153,796 4,083,013 664,347 38,373 (242,078) 115,165 248,584 4,907,404 1,759 5,863 $ $ $ $ 5,863 (900) $ 4,963 $ (293) (47,198) (420,000) 20,000 79,629 138,876 1,759 3,485,015 532,367 257,594 (130,544) 20,730 (329,185) 99,103 153,796 4,088,876 663,447 38,373 (242,078) 115,165 248,584 4,912,367 Stock-based compensation Balance at October 31, 2020 152,618 $ 1,528 248,584 $1,653,166 $3,795,397 $ (488,613) $ (54,074) $ See accompanying notes to consolidated financial statements. 55 Table of Contents SYNOPSYS, INC. CONSOLIDATED STATEMENTS OF CASH FLOWS (In thousands) Cash flow from operating activities: Net income attributed to Synopsys Adjustments to reconcile net income to net cash provided by operating activities: Amortization and depreciation Reduction of operating lease right-of-use assets Amortization of capitalized costs to obtain revenue contracts Stock-based compensation Allowance for doubtful accounts (Gain) loss on sale of property and investments Deferred income taxes Other non-cash Net changes in operating assets and liabilities, net of acquired assets and liabilities: Accounts receivable Inventories Prepaid and other current assets Other long-term assets Accounts payable and accrued liabilities Operating lease liabilities Income taxes Deferred revenue Net cash provided by operating activities Cash flows from investing activities: Proceeds from sales and maturities of short-term investments Proceeds from sales of long-term investments Purchases of long-term investments Proceeds from sale of property and equipment Purchases of property and equipment Cash paid for acquisitions and intangible assets, net of cash acquired Capitalization of software development costs Net cash used in investing activities Cash flows from financing activities: Proceeds from credit facilities Repayment of debt Issuances of common stock Payments for taxes related to net share settlement of equity awards Purchases of treasury stock Other Net cash (used in) provided by financing activities Effect of exchange rate changes on cash, cash equivalents and restricted cash Net change in cash, cash equivalents and restricted cash Cash, cash equivalents and restricted cash, beginning of year Cash, cash equivalents and restricted cash, end of year Supplemental disclosure of cash flow information: Cash paid for income taxes during the year: Interest payments during the year: Year Ended October 31, 2020 2019 2018 $ 664,347 $ 532,367 $ 432,518 209,986 82,895 61,185 248,584 20,875 (1,994) (111,526) 5,419 (236,806) (55,024) (11,298) (83,367) 113,773 (78,578) 14,120 148,722 991,313 — 2,151 (2,762) — (154,717) (201,045) (4,045) (360,418) 276,489 (288,879) 197,403 (82,225) (242,078) (1,316) (140,606) 17,154 507,443 730,527 1,237,970 70,711 5,136 $ $ $ $ $ $ 201,676 — 62,750 155,001 11,669 (4,052) (82,620) (993) (8,575) (17,396) (49,779) (125,749) (19,280) — 19,777 125,717 800,513 — 6,361 (3,245) — (198,129) (36,605) (4,259) (235,877) 192,897 (524,063) 156,364 (57,143) (329,185) (762) (561,892) 2,782 5,526 725,001 730,527 75,744 12,363 209,207 — — 140,032 3,368 (93) (210,310) (851) (95,785) (65,751) (12,652) (25,815) 49,043 — (103,841) 105,329 424,399 12,449 494 (3,561) 1,662 (98,976) (652,643) (2,950) (743,525) 620,635 (295,313) 123,829 (45,772) (400,000) 1,759 5,138 (11,086) (325,074) 1,050,075 725,001 252,522 15,307 $ $ $ See accompanying notes to consolidated financial statements. 56 SYNOPSYS, INC. NOTES TO CONSOLIDATED FINANCIAL STATEMENTS Note 1. Description of Business Synopsys, Inc. (Synopsys or the Company) provides products and services used across the entire silicon to software spectrum, from engineers creating advanced semiconductors to software developers seeking to ensure the security and quality of their code. The Company is a global leader in supplying the electronic design automation (EDA) software that engineers use to design and test integrated circuits (ICs), also known as chips. The Company also offers semiconductor intellectual property (IP) products, which are pre-designed circuits that engineers use as components of larger chip designs rather than designing those circuits themselves. The Company provides software and hardware used to validate the electronic systems that incorporate chips and the software that runs on them. To complement these offerings, the Company provides technical services and support to help its customers develop advanced chips and electronic systems. These products and services are part of the Company’s Semiconductor & System Design segment. The Company is also a leading provider of software tools and services that improve the security, quality and compliance of software in a wide variety of industries, including electronics, financial services, automotive, medicine, energy and industrials. These tools and services are part of the Company’s Software Integrity segment. Note 2. Summary of Significant Accounting Policies Fiscal Year End. The Company’s fiscal year generally ends on the Saturday nearest to October 31 and consists of 52 weeks, with the exception that approximately every five years, the Company has a 53-week year. When a 53- week year occurs, the Company includes the additional week in the first quarter to realign fiscal quarters with calendar quarters. Fiscal 2020 and fiscal 2019 were 52-week years ending on October 31, 2020 and November 2, 2019, respectively. Fiscal 2018 was a 53-week year and ended on November 3, 2018. For presentation purposes, the consolidated financial statements and accompanying notes refer to the closest calendar month end. Fiscal 2021 will be a 52-week year. Basis of Presentation. The consolidated financial statements include the accounts of the Company and all of its subsidiaries. All intercompany accounts and transactions have been eliminated. Use of Estimates. To prepare financial statements in conformity with U.S. generally accepted accounting principles (U.S. GAAP), management must make estimates and assumptions that affect the amounts reported in the consolidated financial statements and accompanying notes. Actual results could differ from these estimates and may result in material effects on the Company’s operating results and financial position. In addition, the Company has considered the potential impact of the COVID-19 pandemic on the business operations. Although no material impairment or other effects have been identified to date related to the COVID-19 pandemic, there is substantial uncertainty in the nature and degree of its continued effects over time. This uncertainty affects management’s accounting estimates and assumptions, which could result in greater variability in a variety of areas that depend on these estimates and assumptions as additional events and information are known. Foreign Currency Translation. The functional currency of the majority of the Company’s active foreign subsidiaries is the foreign subsidiary’s local currency. Assets and liabilities that are not denominated in the functional currency are remeasured into the functional currency with any related gain or loss recorded in earnings. The Company translates assets and liabilities of its non-U.S. dollar functional currency foreign operations into the U.S. dollar reporting currency at exchange rates in effect at the balance sheet date. The Company translates income and expense items of such foreign operations into the U.S. dollar reporting currency at average exchange rates for the period. Accumulated translation adjustments are reported in stockholders’ equity, as a component of accumulated other comprehensive income (loss). Foreign Currency Contracts. The Company operates internationally and is exposed to potentially adverse movements in currency exchange rates. The Company enters into hedges in the form of foreign currency forward contracts to reduce its exposure to foreign currency rate changes on non-functional currency denominated forecasted transactions and balance sheet positions. The assets or liabilities associated with the forward contracts are recorded at fair value in other current assets or accrued liabilities in the consolidated balance sheets. The accounting for gains and losses resulting from changes in fair value depends on the use of the foreign currency forward contract and whether it is designated and qualifies for hedge accounting. See Note 6. Financial Assets and Liabilities. 57 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Fair Values of Financial Instruments. The Company’s cash equivalents and foreign currency contracts are carried at fair value. The fair value of the Company’s accounts receivable and accounts payable approximates the carrying amount due to their short duration. Non-marketable equity securities are accounted for using either the measurement alternative or equity method of accounting, net of impairments. The Company performs periodic impairment analysis on these non-marketable equity securities. The carrying amount of the short-term debt approximates the estimated fair value. See Note 7. Fair Value Measures. Cash and Cash Equivalents. The Company classifies investments with original maturities of three months or less when acquired as cash equivalents. Concentration of Credit Risk. Financial instruments that potentially subject the Company to significant concentrations of credit risk consist principally of cash equivalents, marketable securities, foreign currency contracts, and accounts receivable from trade customers. The Company maintains cash equivalents primarily in highly rated taxable and tax-exempt money market funds located in the U.S. and in various overseas locations. The Company sells its products worldwide primarily to customers in the global electronics market. The Company performs on-going credit evaluations of its customers’ financial condition and does not require collateral. The Company establishes reserves for potential credit losses and such losses have been within management’s expectations and have not been material in any year presented. Accounts Receivable, Net. The balances consist of accounts receivable billed and unbilled. Unbilled accounts receivable represent amounts recorded as revenue which will be invoiced within one year of the balance sheet date. The following table represents the components of accounts receivable, net: Accounts receivable Unbilled accounts receivable Total accounts receivable Less allowance for doubtful accounts Total accounts receivable, net October 31, 2020 2019 (in thousands) $ $ 758,341 $ 50,932 809,273 (28,564) 780,709 $ 524,766 38,175 562,941 (9,046) 553,895 Allowance for Doubtful Accounts. Trade accounts receivable are recorded at the invoiced amount and do not bear interest. The Company maintains allowances for doubtful accounts to reduce the Company’s receivables to their estimated net realizable value. The Company provides a general reserve on all accounts receivable based on a review of customer accounts. The following table presents the changes in the allowance for doubtful accounts: Fiscal Year 2020 2019 2018 (1) Balances written off, net of recoveries. Balance at Beginning of Period $ $ $ 9,046 $ 5,613 $ 5,165 $ Provisions Write-offs(1) Balance at End of Period (in thousands) 20,875 $ 11,669 $ 3,368 $ (1,357) $ (8,236) $ (2,920) $ 28,564 9,046 5,613 Inventories, net. Inventories are computed at standard costs which approximate actual costs, on a first-in, first-out basis and valued at the lower of cost or net realizable value. Inventories primarily include components and parts used in emulation and prototyping hardware systems. Valuation process include a review of the stage of the product life cycle and forecasts based upon future demand and market conditions. Inventory provisions are recorded when the costs are determined to be in excess of anticipated demand or considered obsolete. Income Taxes. The Company accounts for income taxes using the asset and liability method. Deferred tax assets and liabilities are recognized for the future tax consequences attributable to differences between the financial statement carrying amounts of existing assets and liabilities and their respective tax bases and operating loss and tax credit carryforwards. Deferred tax assets and liabilities are measured using enacted tax rates expected to apply 58 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. to taxable income in the years in which those temporary differences are expected to be recovered or settled. The effect on deferred tax assets and liabilities of a change in tax rates is recognized in income in the period that includes the enactment date. The Company accounts for uncertainty in income taxes using a two-step approach to recognizing and measuring uncertain tax positions. The first step is to evaluate the tax position for recognition by determining whether it is more likely than not that the position will be sustained on audit, including resolution of related appeals or litigation processes, if any. The second step is to measure the tax benefit as the largest amount which is more than 50% likely of being realized upon ultimate settlement. An uncertain tax position is considered effectively settled on completion of an examination by a taxing authority if certain other conditions are satisfied. Property and Equipment. Property and equipment is recorded at cost less accumulated depreciation. Assets, excluding land, are depreciated using the straight-line method over their estimated useful lives. Leasehold improvements are amortized using the straight-line method over the remaining term of the lease or the economic useful life of the asset, whichever is shorter. Depreciation expenses were $119.1 million, $100.4 million and $72.8 million in fiscal 2020, 2019 and 2018, respectively. Repair and maintenance costs are expensed as incurred and such costs were $62.1 million, $52.5 million and $45.7 million in fiscal 2020, 2019 and 2018, respectively. A summary of property and equipment, at cost less accumulated depreciation and amortization, as of October 31, 2020 and 2019 is as follows: Computer and other equipment Buildings Furniture and fixtures Land Leasehold improvements Less accumulated depreciation and amortization(1) Total October 31, 2020 2019 (in thousands) $ $ 788,105 $ 129,746 72,702 19,965 242,830 1,253,348 (769,530) 483,818 $ 678,901 68,708 72,437 18,849 273,985 1,112,880 (683,348) 429,532 (1) Accumulated depreciation and amortization includes write-offs due to retirement of fully amortized fixed assets. The useful lives of depreciable assets are as follows: Computer and other equipment Buildings Furniture and fixtures Leasehold improvements Useful Life in Years 3-8 30 5 Shorter of the lease term or the estimated useful life Leases. In February 2016, the Financial Accounting Standards Board (FASB) issued Accounting Standards Update (ASU) 2016-02, “Leases (Topic 842),” which supersedes the previous lease requirements in Topic 840. Topic 842 was subsequently amended by several ASUs. The new guidance requires a lessee to recognize a right-of-use (ROU) asset and a lease liability for most operating leases in the consolidated balance sheets. These ASUs also made minor changes to lessor accounting and aligned key aspects of the lessor accounting model with the new revenue recognition guidance. The new standard did not have a material impact on the consolidated financial statements for arrangements in which the Company is the lessor. The Company adopted Topic 842 at the beginning of fiscal 2020 using the modified retrospective method without restatement of comparative periods. The Company elected the package of practical expedients permitted under the transition guidance, which allows the carryforward of historical assessments about (1) lease classification, (2) 59 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. whether a contract is or contains a lease, and (3) which costs qualify as initial direct costs for leases that existed prior to the adoption. The Company did not elect either the use of hindsight or land easements practical expedients available in transition. The adoption of the standard did not have an impact on the Company’s beginning retained earnings, results of operations, or cash flows. The operating lease liabilities equaled the present value of the remaining Topic 840 minimum rental payments for those leases, discounted at the Company’s incremental borrowing rate as of the date of adoption. The ROU assets were measured at the amount of the related lease liabilities plus any prepaid rental payments and less any unamortized lease incentives such as tenant improvement allowances. The Company recognized ROU assets of $475 million and operating lease liabilities of $540 million on the consolidated balance sheets. The Company determines if a contract is or contains a lease at inception. ROU assets represent the Company’s right to use an underlying asset for the lease term and lease liabilities represent the Company’s obligation to make payments arising from the lease. Lease liabilities for operating and finance leases are recognized at the lease commencement date based on the present value of future lease payments over the remaining lease terms. ROU assets are derived from the carrying amount of the related lease liability plus any prepaid lease payments, less any lease incentives such as tenant improvement allowances. The Company primarily uses its incremental borrowing rate, determined as of the lease commencement date, to measure the present value of its future lease payments, as the rate implicit in the lease is generally not readily determinable. The Company uses a benchmark senior unsecured yield curve for debt instruments and considers specific credit quality, market conditions, tenor of lease arrangements, and quality of collateral to determine the incremental borrowing rate. Operating lease expense is recognized on a straight-line basis over the lease term of each lease. Variable payments, such as for maintenance, property taxes or insurance, are recognized on our consolidated statements of operations as incurred. The Company has adopted both (1) the practical expedient to not separate lease from non-lease components and (2) the short-term lease exemption. The Company has elected the practical expedient to not separate lease from non-lease components for all classes of underlying assets and the short-term lease exemption for all classes of underlying assets except real estate leases, with terms 12 months or less. Goodwill. Goodwill represents the excess of the aggregate purchase price over the fair value of the net tangible and identifiable intangible assets acquired by the Company. The carrying amount of goodwill at each reporting unit is tested for impairment annually as of October 31, or more frequently if facts and circumstances warrant a review. The Company performs a qualitative analysis when testing a reporting unit’s goodwill for impairment. A qualitative goodwill impairment test is performed when the fair value of a reporting unit historically has significantly exceeded the carrying value of its net assets and based on current operations is expected to continue to do so. Otherwise, the Company is required to conduct a quantitative impairment test for each reporting unit and estimate the fair value of each reporting unit using a combination of an income approach based on discounted cash flow analysis and a market approach based on market multiples. The discount rate used in the income approach is based on the Company's weighted-average cost of capital and may be adjusted for the relevant risks pertaining to projecting future cash flows. If the fair value of a reporting unit is less than its carrying value, a goodwill impairment charge is recorded for the difference. As of October 31, 2020, the Company performed a qualitative impairment test on each of the reporting units and concluded there was no impairment of goodwill. Intangible Assets. Intangible assets consist of acquired technology, certain contract rights, customer relationships, trademarks and trade names, capitalized software, and in-process research and development. These intangible assets are acquired through business combinations, direct purchases, or internally developed capitalized software. Intangible assets are amortized on a straight-line basis over their estimated useful lives which range from one to ten years, except for in-process research and development (IPR&D) projects not yet completed. IPR&D assets are amortized over their estimated useful lives upon completion or are written off upon abandonment. The Company continually monitors events and changes in circumstances that could indicate carrying amounts of long-lived assets, including property and equipment and intangible assets, may not be recoverable. When such events or changes in circumstances occur, the Company assesses the recoverability of long-lived assets by determining whether the carrying value of such asset group will be recovered through the undiscounted future cash flow. If the undiscounted future cash flow is less than the carrying amount of the asset group, the Company 60 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. recognizes an impairment loss based on the excess of the carrying amount over the fair value of the asset group. The Company had no material impairment charges for long-lived assets in fiscal 2018 and none in fiscal 2020 and 2019. Restructuring Charges. In the second quarter of fiscal 2019, the Company initiated a restructuring plan for involuntary and voluntary employee termination and facility closure actions as part of a business reorganization to better position the Company for future growth by reallocating resources to priority areas, and to a lesser extent, eliminating operational redundancy. The total charges under the 2019 restructuring plan were $83.3 million and consisted primarily of severance, termination, and retirement benefits under the 2019 VRP. During fiscal 2020, the Company incurred restructuring charges of $36.1 million under the 2019 restructuring plan. These charges consisted primarily of severance, termination, and retirement benefits. $57.4 million was paid in fiscal 2020 which included payments of remaining balances in fiscal 2019. As of October 31, 2020, $1.3 million remained outstanding and was recorded in accounts payable and accrued liabilities as payroll and related benefits in the consolidated balance sheets. The remaining balance will be paid in fiscal 2021. During fiscal 2019, the Company incurred restructuring charges of approximately $47.2 million for involuntary employee termination actions and the VRP. These charges consist primarily of severance, termination, and retirement benefits, of which $24.6 million was paid in fiscal 2019. As of October 31, 2019, $22.6 million remained outstanding and was recorded in accounts payable and accrued liabilities as payroll and related benefits in the consolidated balance sheets. The remaining balance was paid in fiscal 2020. During fiscal 2018, the Company recorded $12.9 million of restructuring charges for severance and benefits due to involuntary employee termination actions. The restructuring actions were undertaken to position the Company for future growth, reallocate resources to priority areas and, to a lesser extent, eliminate operational redundancy. These charges consisted primarily of severance benefits. As of October 31, 2018, there was an $8.1 million outstanding balance remaining in accounts payable and accrued liabilities in the consolidated balance sheets. The majority of the balance was paid in fiscal 2019 and there was no remaining balance as of the end of fiscal 2020. Accounts Payable and Accrued Liabilities. The balance consisted of: Payroll and related benefits Other accrued liabilities Accounts payable Total Other Long-term Liabilities. The balance consisted of: Deferred compensation liability (See Note 12) Other long-term liabilities Total October 31, 2020 2019 (in thousands) 492,626 $ 101,035 30,003 623,664 $ 417,157 69,487 19,815 506,459 October 31, 2020 2019 (in thousands) 269,737 $ 14,774 284,511 $ 249,822 73,903 323,725 $ $ $ $ Other Comprehensive Income (Loss). Other comprehensive income (loss) (OCI) includes all changes in equity during a period, such as accumulated net translation adjustments, unrealized gain (loss) on certain foreign currency forward contracts that qualify as cash flow hedges, reclassification adjustments related to cash flow hedges and unrealized gain (loss) on investments. See Note 10. Accumulated Other Comprehensive Income (Loss). Revenue Recognition. The Company adopted ASC 606 on November 4, 2018, the beginning of fiscal year 2019, using the modified retrospective method. The comparative information for periods prior to fiscal year 2019 has not been restated and continues to be reported under the accounting standards in effect for those periods. The core principle of ASC 606 is to recognize revenue for the transfer of services or products to customers in an amount that reflects the consideration to which the Company expects to be entitled in exchange for those services or products. 61 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. The principle is achieved through the following five-step approach: Identification of the contract, or contracts, with the customer Identification of the performance obligation in the contract • • • Determination of the transaction price • Allocation of the transaction price to the performance obligations in the contract • Recognition of revenue when, or as, the Company satisfies a performance obligation Nature of Products and Services The Company generates revenue from the sale of products that include software licenses and, to a lesser extent, hardware products, maintenance and services. The various types are set forth below. Electronic Design Automation Software license revenue consists of fees associated with the licensing of the Company's software primarily through Technology Subscription License (TSL) contracts. TSLs are time-based licenses for a finite term and generally provide the customer with limited rights to receive, or to exchange certain quantities of licensed software for, unspecified future technology. The majority of the Company's arrangements are TSLs due to the nature of its business and customer requirements. In addition to the licenses, the arrangements also include: post-contract customer support, which includes providing frequent updates and upgrades to maintain the utility of the software due to rapid changes in technology; other intertwined services such as multiple copies of the tools; assisting the Company's customers in applying the Company's technology in the customers' development environment; and rights to remix licenses for other licenses. Payments are generally received in equal or near equal installments over the term of the arrangement. Under ASC 605, these arrangements were required to be recognized ratably over the contract terms. Under ASC 606, the Company has concluded that its software licenses in TSL contracts are not distinct from its obligation to provide unspecified software updates to the licensed software throughout the license term. Such updates represent inputs to a single, combined performance obligation, commencing upon the later of the arrangement effective date or transfer of the software license. Remix rights are not an additional promised good or service in the contract, and where unspecified additional software product rights are part of the contract with the customer, such rights are accounted for as part of the single performance obligation that includes the licenses, updates, and technical support because such rights are provided for the same period of time and have the same pattern of transfer to the customer over the duration of the subscription term. IP & System Integration The Company generally licenses IP under nonexclusive license agreements that provide usage rights for specific applications. Additionally, for certain IP license agreements, royalties are collected as customers sell their own products that incorporate the Company’s IP. Under ASC 605, the Company recognized revenue either upfront if certain criteria in ASC 605 were met, or over the contractual period for IP licensing and support arrangements if such arrangements were combined with other TSL arrangements. Under ASC 606, these arrangements generally have two distinct performance obligations that consist of transferring the licensed IP and the support service. Support services consist of a stand-ready obligation to provide technical support and software updates over the support term. Revenue allocated to the IP license is recognized at a point in time upon the later of the delivery date or the beginning of the license period, and revenue allocated to support services is recognized ratably over the support term. Royalties are recognized as revenue is earned, generally when the customer sells its products that incorporate the Company’s IP. Software Integrity Products Software Integrity product arrangements provide customers the right to software licenses, software updates and technical support. Under the term of these arrangements, the customer expects to receive integral updates to the software licenses that protect the customer’s software from potential security vulnerabilities. The licenses and software updates together serve to fulfill the Company’s commitment to the customer, as they represent inputs to a single, combined performance obligation that commences upon the later of the arrangement effective date or transfer of the software license. Software updates are part of the contract with the customer, and such rights are accounted for as part of the single performance obligation that includes the licenses, updates, and technical support because such rights are provided for the same period of time and have the same time-based pattern of transfer to the customer. 62 Table of Contents Hardware NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. The Company generally has two performance obligations in arrangements involving the sale of hardware products. The first performance obligation is to transfer the hardware product, which includes embedded software integral to the functionality of the hardware product. The second performance obligation is to provide maintenance on the hardware and its embedded software, including rights to technical support, hardware repairs and software updates that are all provided over the same term and have the same time-based pattern of transfer to the customer. The portion of the transaction price allocated to the hardware product is generally recognized as revenue at a point in time when the hardware is shipped to the customer. The Company has concluded that control generally transfers upon delivery because the customer has the ability to direct the use of the asset and an obligation to pay for the hardware. The portion of the transaction price allocated to maintenance is recognized as revenue that is ratable over the maintenance term. The adoption of ASC 606 did not change the timing of revenue recognition for hardware products and related services. Professional Services The Company's arrangements often include service elements (other than maintenance and support services). These services include training, design assistance, and consulting. Services performed on a time and materials basis are recognized over time, as the customer simultaneously receives and consumes the benefit provided. Certain arrangements also include the customization or modification of licensed IP. Revenue from these contracts is recognized over time as the services are performed, when the development is specific to the customer’s needs and Synopsys has enforceable rights to payment for performance completed. Inputs such as costs incurred and hours expended are used in order to measure progress of performance. The Company has a history of accurately estimating project status and the costs necessary to complete projects. A number of internal and external factors can affect these estimates, including labor rates, utilization and efficiency variances, specification and testing requirement changes, and changes in customer delivery priorities. Payments for services are generally due upon milestones in the contract or upon consumption of the hourly resources. Flexible Spending Accounts Some customers enter into a non-cancelable Flexible Spending Account arrangement (FSA) whereby the customer commits to a fixed dollar amount over a specified period of time that can be used to purchase from a list of Synopsys products or services. These arrangements do not meet the definition of a revenue contract until the customer executes a separate order to identify the required products and services that they are purchasing. The combination of the FSA arrangement and the subsequent order creates enforceable rights and obligations, thus meeting the definition of a revenue contract. Each separate order under the agreement is treated as an individual contract under the new standard and accounted for based on the respective performance obligations included within the FSA arrangements. Judgments The Company’s contracts with customers often include promises to transfer multiple products and services to a customer. Determining whether services and products are considered distinct performance obligations that should be accounted for separately versus together requires significant judgment. The Company has concluded that (1) its EDA software licenses in TSL contracts are not distinct from its obligation to provide unspecified software updates to the licensed software throughout the license term, because those promises represent inputs to a single, combined performance obligation, and (2) where unspecified additional software product rights are part of the contract with the customer, such rights are accounted for as part of the single performance obligation that includes the licenses, updates, and technical support, because such rights are provided for the same period of time and have the same time-based pattern of transfer to the customer. In reaching this conclusion, the Company considered the nature of the obligation to customers which is to provide an ongoing right to use the most up to date and relevant software. As EDA customers operate in a rapidly changing and competitive environment, satisfying the obligation requires providing critical updates to the existing software products, including ongoing iterative interaction with customers to make the software relevant to customers’ ability to meet the time to go to market with advanced products. Similarly, the Company also concluded that in its Software Integrity business, the licenses and maintenance updates serve together to fulfill the Company’s commitment to the customer as both work together to provide the 63 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. functionality to the customer and represent a combined performance obligation because the updates are essential to the software’s central utility, which is to identify security vulnerabilities and other threats. Judgment is also required to determine the standalone selling price (SSP) for each distinct performance obligation. For non-software performance obligations (IP, Hardware, and services), SSP is established based on observable prices of products and services sold separately. SSP for license (and related updates and support) in a contract with multiple performance obligations is determined by applying a residual approach whereby all other non-software performance obligations within a contract are first allocated a portion of the transaction price based upon their respective SSP, using observable prices, with any residual amount of the transaction price allocated to the license because the Company does not sell the license separately, and the pricing is highly variable. Contract Balances The timing of revenue recognition may differ from the timing of invoicing to customers, and these timing differences result in receivables (billed or unbilled), contract assets, or contract liabilities (deferred revenue) on the Company’s consolidated balance sheet. The Company records a contract asset when revenue is recognized prior to the right to invoice, or deferred revenue when revenue is recognized subsequent to invoicing. For time-based software agreements, customers are generally invoiced in equal, quarterly amounts, although some customers prefer to be invoiced in single or annual amounts. The Company records an unbilled receivable when revenue is recognized and it has an unconditional right to invoice and receive payment. Warranties and Indemnities. The Company generally warrants its products to be free from defects in media and to substantially conform to material specifications for a period of 90 days for software products and for up to six months for hardware systems. In certain cases, the Company also provides its customers with limited indemnification with respect to claims that their use of the Company’s software products infringes on United States patents, copyrights, trademarks or trade secrets. The Company is unable to estimate the potential impact of these commitments on the future results of operations. To date, the Company has not been required to pay any material warranty claims. Net Income Per Share. The Company computes basic income per share by dividing net income available to common shareholders by the weighted average number of common shares outstanding during the period. Diluted net income per share reflects the dilution from potential common shares outstanding such as stock options and unvested restricted stock units and awards during the period using the treasury stock method. The table below reconciles the weighted average common shares used to calculate basic net income per share with the weighted average common shares used to calculate diluted net income per share: 64 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Numerator: Net income attributed to Synopsys Denominator: Year Ended October 31, 2020 2019 2018 (in thousands, except per share amounts) $ 664,347 $ 532,367 $ 432,518 Weighted average common shares for basic net income per share Dilutive effect of common share equivalents from equity-based compensation Weighted average common shares for diluted net income per share 151,135 149,872 149,036 4,571 4,318 4,357 155,706 154,190 153,393 Net income per share: Basic Diluted Anti-dilutive employee stock-based awards excluded(1) (1) $ $ 4.40 $ 4.27 $ 97 3.55 $ 3.45 $ 171 2.90 2.82 850 These stock options and unvested restricted stock units were anti-dilutive for the respective periods and are excluded in calculating diluted net income per share. While such awards were anti-dilutive for the respective periods, they could be dilutive in the future. Note 3. Revenue Disaggregated Revenue The following table shows the percentage of revenue by product groups: EDA IP & System Integration Software Integrity Products & Services Other(1) Total (1) The percentage of revenue by Other is less than 1%. Contract Balances 2020 2019 2018 57% 33% 10% 0% 100% 59% 31% 10% 0% 100% 62% 29% 9% 0% 100% The contract assets indicated below are presented as prepaid and other current assets in the consolidated balance sheets. The contract assets are transferred to receivables when the rights to invoice and receive payment become unconditional. Unbilled receivables are presented as accounts receivable, net, in the consolidated balance sheets. 65 Table of Contents Contract balances are as follows: SYNOPSYS, INC. Contract assets Unbilled receivables Deferred revenue As of October 31, 2020 2019 (in thousands) $ $ $ 214,583 $ 50,932 $ 1,493,113 $ 210,557 38,175 1,302,578 During fiscal 2020, the Company recognized $1.1 billion of revenue that was included in the deferred revenue balance as of October 31, 2019. During fiscal 2019, the Company recognized $1.0 billion of revenue that was included in the deferred revenue balance as of October 31, 2018. Contracted but unsatisfied or partially unsatisfied performance obligations were approximately $4.9 billion as of October 31, 2020, which includes $673.8 million in non-cancellable FSA commitments from customers where actual product selection and quantities of specific products or services are to be determined by customers at a later date. The Company has elected to exclude future sales-based royalty payments from the remaining performance obligations. Approximately 61% of the contracted but unsatisfied or partially unsatisfied performance obligations as of October 31, 2020, excluding non-cancellable FSA, are expected to be recognized over the next 12 months with the remainder recognized thereafter. During fiscal 2020, the Company recognized $102.4 million from performance obligations satisfied from sales- based royalties earned during the periods. During fiscal 2019, the Company recognized $80.0 million from performance obligations satisfied from sales-based royalties earned during the periods. Costs of Obtaining a Contract with Customer The Company adopted ASC Subtopic 340-40, “Other Assets and Deferred Costs - Contracts with Customers (ASC 340-40)” on November 4, 2018, the beginning of fiscal year 2019, using the modified retrospective method. The comparative information for periods prior to fiscal year 2019 has not been restated and continues to be reported under the accounting standards in effect for those periods. The incremental costs of obtaining a contract with a customer, which consist primarily of direct sales commissions earned upon execution of the contract, are required to be capitalized under ASC 340-40 and amortized over the estimated period of which the benefit is expected to be received. As direct sales commissions paid for renewals are commensurate with the amounts paid for initial contracts, the deferred incremental costs will be recognized over the contract term. Total capitalized direct commission costs as of October 31, 2020 were $81.3 million and are included in other assets in the Company’s consolidated balance sheets. Amortization of these assets was $61.2 million during fiscal 2020 and is included in sales and marketing expense in the Company’s consolidated statements of operations. Total capitalized direct commission costs as of October 31, 2019 were $86.4 million and are included in other assets in the Company’s consolidated balance sheets. Amortization of these assets was $62.8 million during fiscal 2019 and is included in sales and marketing expense in the Company’s consolidated statements of operations. Note 4. Business Combinations Fiscal 2020 Acquisitions During fiscal 2020, the Company completed several acquisitions for an aggregate consideration of $238.3 million, net of cash acquired as described below: • During the second quarter of fiscal 2020, the Company completed an acquisition for an aggregate consideration of $105.7 million; including cash consideration of $75.7 million and the Company’s products exchanged in connection with the acquisition with a fair value of $30.0 million. 66 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. The consideration of $105.7 million was allocated to $20.6 million of identifiable intangible assets, $4.2 million of net tangible assets, and $80.9 million in goodwill, on a preliminary basis. The fair value of these intangible assets was estimated using the income method. These transactions are not considered to be material to the Company’s consolidated statements of operations. The acquisition was attributable to the Semiconductor & System Design reporting segment. Concurrent to this transaction, the Company also executed a design service arrangement and recognized an asset of $10.7 million for the off-market component. The $10.7 million contract asset is expected to be amortized over the contractual period of the agreement of five years. • In addition to the above, the Company also completed several other acquisitions for an aggregate cash consideration of $132.6 million, net of cash acquired. The preliminary purchase allocations are $44.7 million of identifiable intangible assets and $92.8 million in goodwill, of which $13.3 million is attributable to the Software Integrity reporting segment. The fair value of these intangible assets and goodwill are estimated using the income method. The preliminary fair value estimates for the assets acquired and liabilities assumed for all acquisitions completed within 12 months from the applicable acquisition date are not yet finalized and may change as additional information becomes available during the respective measurement periods. The primary areas of those preliminary estimates relate to certain tangible assets and liabilities, identifiable intangible assets, and income taxes. The Company does not consider these acquisitions to be material, individually or in the aggregate, to the Company’s consolidated statements of operations. Note 5. Goodwill and Intangible Assets The Company has two reporting units and has assigned assets and liabilities to each of the reporting units based on each unit's operating activities. No impairment of goodwill was identified for any periods presented. Goodwill activity by reportable segment for the year ended October 31, 2020 consisted of the following: Balance at October 31, 2019 Additions Adjustments Effect of foreign currency translation Balance at October 31, 2020 Semiconductor & System Design Software Integrity (in thousands) $ $ 2,758,926 $ 160,447 59 20,080 2,939,512 $ 412,253 $ 13,285 — 64 425,602 $ Total 3,171,179 173,732 59 20,144 3,365,114 Goodwill activity by reportable segment for the year ended October 31, 2019 consisted of the following: Balance at October 31, 2018 Additions Effect of foreign currency translation Balance at October 31, 2019 Semiconductor & System Design Software Integrity (in thousands) $ $ 2,730,990 $ 23,690 4,246 2,758,926 $ 412,259 $ — (6) 412,253 $ Total 3,143,249 23,690 4,240 3,171,179 In-process research and development (IPR&D) as of October 31, 2020 consisted of acquired projects that, if completed, will be reclassified to core/developed technology upon completion, or if abandoned, will be written off. Intangible assets as of October 31, 2020 consisted of the following: 67 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Core/developed technology Customer relationships Contract rights intangible Trademarks and trade names In-process research and development (IPR&D) Capitalized software development costs Total Gross Assets Accumulated Amortization (in thousands) Net Assets $ $ 827,232 $ 380,838 192,812 43,096 1,214 44,122 1,489,314 $ 703,009 $ 277,219 186,763 28,716 — 39,285 1,234,992 $ 124,223 103,619 6,049 14,380 1,214 4,837 254,322 Intangible assets as of October 31, 2019 consisted of the following: Core/developed technology Customer relationships Contract rights intangible Trademarks and trade names In-process research and development (IPR&D) Capitalized software development costs Total Gross Assets Accumulated Amortization (in thousands) Net Assets $ 791,647 $ 358,661 655,119 $ 242,058 184,304 42,929 1,200 40,077 181,124 25,581 — 35,562 136,528 116,603 3,180 17,348 1,200 4,515 $ 1,418,818 $ 1,139,444 $ 279,374 Amortization expense related to intangible assets consisted of the following: Core/developed technology Customer relationships Contract rights intangible Trademarks and trade names Capitalized software development costs(1) Total (1) Year Ended October 31, 2020 2019 2018 (in thousands) $ $ 47,890 $ 35,075 5,181 3,135 3,723 95,004 $ 56,163 $ 37,533 3,581 3,637 2,868 103,782 $ 78,820 37,395 4,906 4,543 3,599 129,263 Amortization of capitalized software development costs is included in cost of products revenue in the consolidated statements of operations. The following table presents the estimated future amortization of intangible assets as of October 31, 2020: Fiscal Year 2021 2022 2023 2024 2025 2026 and thereafter IPR&D Total 68 (in thousands) 76,078 $ 61,242 44,733 34,398 18,295 18,362 1,214 254,322 $ Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Note 6. Financial Assets and Liabilities Cash equivalents. The Company classifies time deposits and other investments with original maturities less than three months as cash equivalents. As of October 31, 2020, the balances of the Company's cash equivalents and non-marketable equity securities investments were: Cost Gross Unrealized Gains Gross Unrealized Losses Less Than 12 Continuous Months Gross Unrealized Losses 12 Continuous Months or Longer (in thousands) Estimated Fair Value(1) $ $ 304,127 $ 304,127 $ — $ — $ — $ — $ — $ — $ 304,127 304,127 Cash equivalents: Money market funds Total: Other long-term assets: Non-marketable equity securities $ 13,200 $ $ 13,200 $ — $ — $ — $ — $ — $ — $ 13,200 13,200 See Note 7. Fair Value Measures for further discussion on fair values of cash equivalents. Total: (1) As of October 31, 2019, the balances of our cash equivalents and non-marketable equity securities investments were: Cost Gross Unrealized Gains Gross Unrealized Losses Less Than 12 Continuous Months Gross Unrealized Losses 12 Continuous Months or Longer (in thousands) Estimated Fair Value(1) $ $ 166,024 $ 166,024 $ — $ — $ — $ — $ — $ — $ 166,024 166,024 Cash equivalents: Money market funds Total: Other long-term assets: Non-marketable equity securities $ 10,951 $ $ 10,951 $ — $ — $ — $ — $ — $ — $ 10,951 10,951 See Note 7. Fair Value Measures for further discussion on fair values of cash equivalents. Total: (1) Restricted cash. The Company includes amounts generally described as restricted cash and restricted cash equivalents in cash and cash equivalents when reconciling beginning-of-period and end-of-period total amounts shown on the consolidated statements of cash flows. All restricted cash is primarily associated with office leases and has no material impact on the Company’s consolidated statements of cash flows. 69 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. The following table provides a reconciliation of cash, cash equivalents and restricted cash included in the consolidated balance sheets: Cash and cash equivalents Restricted cash included in Prepaid expenses and other current assets Restricted cash included in Other long-term assets Total cash, cash equivalents and restricted cash October 31, 2020 2019 (in thousands) 1,235,653 $ 728,597 1,523 794 1,174 756 1,237,970 $ 730,527 $ $ Non-marketable equity securities. The Company’s strategic investment portfolio consists of non-marketable equity securities in privately held companies. The investments that the Company does not have the ability to exercise significant influence are accounted using the measurement alternative when the fair value of the investment is not readily determinable. Securities accounted for as equity method investments are recorded at cost plus the proportional share of the issuers’ income or loss, which is recorded in the Company’s other income (expense), net. The cost basis of securities sold is based on the specific identification method. See Note 7. Fair Value Measures. Derivatives. In the first quarter of 2020, the Company adopted ASU 2017-12, Derivatives and Hedging (Topic 815): Targeted Improvements to Accounting for Hedge Activities, which amends the hedge accounting recognition and presentation requirements of ASC 815. Pursuant to the provisions of ASU 2017-12, the Company is not required to separately measure and report hedge ineffectiveness, which was previously recorded in Other income (expense), net in our consolidated statements of operations. Also, prior to the adoption of ASU 2017-12, the forward point components of the cash flow hedges were excluded from assessing effectiveness of the hedging relationship and were recorded on the consolidated statements of operations in other income (expense), net. Following the Company's adoption of ASU 2017-12, the Company presents the related earning impact of the cash flow hedges in the same income statement section as the hedged items. Adoption of the guidance did not impact opening retained earnings or have a material impact on our financial statements. The Company recognizes derivative instruments as either assets or liabilities in the consolidated balance sheets at fair value and provides qualitative and quantitative disclosures about such derivatives. The Company operates internationally and is exposed to potentially adverse movements in foreign currency exchange rates. The Company enters into hedges in the form of foreign currency forward contracts to reduce its exposure to foreign currency rate changes on non-functional currency denominated forecasted transactions and balance sheet positions including: (1) certain assets and liabilities, (2) shipments forecasted to occur within approximately one month, (3) future billings and revenue on previously shipped orders, and (4) certain future intercompany invoices denominated in foreign currencies. The duration of forward contracts ranges from approximately one month to 22 months, the majority of which are short-term. The Company does not use foreign currency forward contracts for speculative or trading purposes. The Company enters into foreign exchange forward contracts with high credit quality financial institutions that are rated ‘A’ or above and to date has not experienced nonperformance by counterparties. In addition, the Company mitigates credit risk in derivative transactions by permitting net settlement of transactions with the same counterparty and anticipates continued performance by all counterparties to such agreements. The assets or liabilities associated with the forward contracts are recorded at fair value in other current assets or accrued liabilities in the consolidated balance sheets. The accounting for gains and losses resulting from changes in fair value depends on the use of the foreign currency forward contract and whether it is designated and qualifies for hedge accounting. The cash flow impact upon settlement of the derivative contracts will be included in “Net cash provided by operating activities” in the consolidated statements of cash flows. 70 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Cash Flow Hedging Activities Certain foreign exchange forward contracts are designated and qualify as cash flow hedges. These contracts have durations of approximately 22 months or less. Certain forward contracts are rolled over periodically to capture the full length of exposure to the Company’s foreign currency risk, which can be up to three years. To receive hedge accounting treatment, all hedging relationships are formally documented at the inception of the hedge, and the hedges must be highly effective in offsetting changes to future cash flows on the hedged transactions. The related gains or losses resulting from changes in fair value of these hedges is initially reported, net of tax, as a component of other comprehensive income (loss) (OCI), in stockholders’ equity and reclassified into revenue or operating expenses, as appropriate, at the time the hedged transactions affect earnings. The Company expects a majority of the hedge balance in OCI to be reclassified to the statements of operations within the next twelve months. Prior to adoption of ASU 2017-12, hedge effectiveness was evaluated monthly using spot rates, with any gain or loss caused by hedging ineffectiveness recorded in other income (expense), net. During fiscal 2020, 2019 and 2018, the amounts recognized in other income (expense) for ineffectiveness and excluded component were immaterial. Upon adoption of ASU 2017-12, the Company elected to use the forward method to measure hedge effectiveness for its Japanese yen revenue and foreign currency expense cash flow hedges. The Company did not change the process for its backlog cash flow hedges and continues to measure hedging effectiveness on a monthly basis. Non-designated Hedging Activities The Company’s foreign exchange forward contracts that are used to hedge non-functional currency denominated balance sheet assets and liabilities are not designated as hedging instruments. Accordingly, any gains or losses from changes in the fair value of the forward contracts are recorded in other income (expense), net. The gains and losses on these forward contracts generally offset the gains and losses associated with the underlying assets and liabilities, which are also recorded in other income (expense), net. The duration of the forward contracts for hedging the Company’s balance sheet exposure is approximately one month. The Company also has certain foreign exchange forward contracts for hedging certain international revenues and expenses that are not designated as hedging instruments. Accordingly, any gains or losses from changes in the fair value of the forward contracts are recorded in other income (expense), net. The gains and losses on these forward contracts generally offset the gains and losses associated with the foreign currency in operating income. The duration of these forward contracts is usually less than one year. The overall goal of the Company’s hedging program is to minimize the impact of currency fluctuations on its net income over its fiscal year. The effects of non-designated derivative instruments on the Company’s consolidated statements of operations for fiscal years 2020, 2019, and 2018 are summarized as follows: Gain (loss) recorded in other income (expense), net $ 1,957 $ 4,538 $ 3,361 The notional amounts in the table below for derivative instruments provide one measure of the transaction volume outstanding: 2020 October 31, 2019 (in thousands) 2018 Total gross notional amount Net fair value October 31, 2020 2019 (in thousands) $ $ 981,234 $ 817,441 6,940 $ 3,494 The notional amounts for derivative instruments do not represent the amount of the Company’s exposure to market gain or loss. The Company’s exposure to market gain or loss will vary over time as a function of currency exchange rates. The amounts ultimately realized upon settlement of these financial instruments, together with the gains and 71 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. losses on the underlying exposures, will depend on actual market conditions during the remaining life of the instruments. The following table represents the consolidated balance sheets location and amount of derivative instrument fair values segregated between designated and non-designated hedge instruments: Balance at October 31, 2020 Other current assets Accrued liabilities Balance at October 31, 2019 Other current assets Accrued liabilities Fair values of derivative instruments designated as hedging instruments Fair values of derivative instruments not designated as hedging instruments (in thousands) $ $ $ $ 9,182 $ 2,088 $ 7,327 $ 3,715 $ 138 292 53 171 The following table represents, for designated hedge instruments, net of tax, the respective locations in the consolidated statements of operations and the amount of gains and losses on derivative instrument fair values: Location of gain (loss) recognized in OCI on derivatives Amount of gain (loss) recognized in OCI on derivatives (effective portion) Location of gain (loss) reclassified from OCI Amount of gain (loss) reclassified from OCI (effective portion) Fiscal year ended October 31, 2020 Foreign exchange contracts Revenue Foreign exchange contracts Operating expenses Total Fiscal year ended October 31, 2019 Foreign exchange contracts Revenue Foreign exchange contracts Operating expenses Total Fiscal year ended October 31, 2018 Foreign exchange contracts Revenue Foreign exchange contracts Operating expenses Total $ $ $ $ $ $ (in thousands) 3,034 Revenue 4,800 Operating expenses 7,834 278 Revenue 4,455 Operating expenses 4,733 693 Revenue (18,121) Operating expenses (17,428) $ $ $ $ $ $ 530 (603) (73) 1,436 (16,073) (14,637) 1,103 9,785 10,888 Other Commitments — Credit and Term Loan Facilities On November 28, 2016, the Company entered into an amended and restated credit agreement with several lenders (the Credit Agreement) providing for (i) a $650.0 million senior unsecured revolving credit facility (the Revolver) and (ii) a $150.0 million senior unsecured term loan facility (the Term Loan). The Credit Agreement amended and restated the Company’s previous credit agreement dated May 19, 2015, in order to increase the size of the revolving credit facility from $500.0 million to $650.0 million, provide a new $150.0 million senior unsecured term loan facility, and to extend the termination date of the revolving credit facility from May 19, 2020 to November 28, 2021. Subject to obtaining additional commitments from lenders, the principal amount of the loans provided under the Credit Agreement may be increased by the Company by up to an additional $150.0 million. The Credit Agreement contains financial covenants requiring the Company to operate within a maximum leverage ratio and maintain a minimum interest coverage ratio, as well as other non-financial covenants. As of October 31, 2020, the Company was in compliance with all financial covenants. 72 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. As of October 31, 2020, the Company had an outstanding balance of $102.1 million, net of debt issuance costs, under the Term Loan, of which $75.0 million was classified as long-term liabilities. Outstanding principal payments under the Term Loan are due as follows: Fiscal year 2021 2022 Total (in thousands) 27,187 75,000 102,187 $ $ As of October 31, 2019, the Company had $119.8 million outstanding balance, net of debt issuance costs, under the Term Loan, of which $102.2 million was classified as long-term liabilities. There was no outstanding balance under the Revolver as of October 31, 2020 and October 31, 2019. The Company expects its borrowings under the Revolver will fluctuate from quarter to quarter. The Term Loan and Revolver borrowings bear interest at a floating rate based on a margin over the Company’s choice of market observable base rates as defined in the Credit Agreement. As of October 31, 2020, borrowings under the Term Loan bore interest at LIBOR +1.125% and the applicable interest rate for the Revolver was LIBOR +1.000%. In addition, commitment fees are payable on the Revolver at rates between 0.125% and 0.200% per year based on the Company’s leverage ratio on the daily amount of the revolving commitment. In July 2018, the Company entered into a 12-year $220.0 million RMB (approximately $33.0 million) credit agreement with a lender in China to support its facilities expansion. Borrowings bear interest at a floating rate based on the 5 year Loan Prime Rate plus 0.74%. As of October 31, 2020, the Company had $25.8 million outstanding under the agreement. The carrying amount of the short-term and long-term debt approximates the estimated fair value. These borrowings under the Credit Agreement have a variable interest rate structure and are classified within Level 2 of the fair value hierarchy. Note 7. Fair Value Measures Accounting Standards Codification (ASC) 820-10, Fair Value Measurements and Disclosures, defines fair value, establishes guidelines and enhances disclosure requirements for fair value measurements. The accounting guidance requires an entity to maximize the use of observable inputs and minimize the use of unobservable inputs when measuring fair value. The accounting guidance also establishes a fair value hierarchy based on the independence of the source and objective evidence of the inputs used. There are three fair value hierarchies based upon the level of inputs that are significant to fair value measurement: Level 1—Observable inputs that reflect quoted prices (unadjusted) for identical instruments in active markets; Level 2—Observable inputs other than quoted prices included in Level 1 for similar instruments in active markets, quoted prices for identical or similar instruments in markets that are not active, and model-driven valuations in which all significant inputs and significant value drivers are observable in active markets; and Level 3—Unobservable inputs to the valuation derived from fair valuation techniques in which one or more significant inputs or significant value drivers are unobservable. On a recurring basis, the Company measures the fair value of certain of its assets and liabilities, which include cash equivalents, non-qualified deferred compensation plan assets, and foreign currency derivative contracts. The Company’s cash equivalents are classified within Level 1 or Level 2 because they are valued using quoted market prices in an active market or alternative independent pricing sources and models utilizing market observable inputs. The Company’s non-qualified deferred compensation plan assets consist of money market and mutual funds invested in domestic and international marketable securities that are directly observable in active markets and are therefore classified within Level 1. 73 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. The Company’s foreign currency derivative contracts are classified within Level 2 because these contracts are not actively traded and the valuation inputs are based on quoted prices and market observable data of similar instruments. The Company’s borrowings under its credit and term loan facilities are classified within Level 2 because these borrowings are not actively traded and have a variable interest rate structure based upon market rates currently available to the Company for debt with similar terms and maturities. See Note 6. Financial Assets and Liabilities for more information on these borrowings. Assets/Liabilities Measured at Fair Value on a Recurring Basis Assets and liabilities measured at fair value on a recurring basis are summarized below as of October 31, 2020: Description Total Assets Cash equivalents: Fair Value Measurement Using Quoted Prices in Active Markets for Identical Assets (Level 1) Significant Other Observable Inputs (Level 2) Significant Unobservable Inputs (Level 3) (in thousands) Money market funds $ 304,127 $ 304,127 $ — $ Prepaid and other current assets: Foreign currency derivative contracts 9,320 — 9,320 Other long-term assets: Deferred compensation plan assets Total assets Liabilities Accounts payable and accrued liabilities: 269,737 583,184 $ $ 269,737 — 573,864 $ 9,320 $ Foreign currency derivative contracts $ 2,380 $ — $ 2,380 $ Other long-term liabilities: Deferred compensation plan liabilities Total liabilities 269,737 272,117 $ $ 269,737 — 269,737 $ 2,380 $ — — — — — — — 74 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Assets and liabilities measured at fair value on a recurring basis are summarized below as of October 31, 2019: Description Total Assets Cash equivalents: Fair Value Measurement Using Quoted Prices in Active Markets for Identical Assets (Level 1) Significant Other Observable Inputs (Level 2) Significant Unobservable Inputs (Level 3) (in thousands) Money market funds $ 166,024 $ 166,024 $ — $ Prepaid and other current assets: Foreign currency derivative contracts 7,380 — 7,380 Other long-term assets: Deferred compensation plan assets Total assets Liabilities Accounts payable and accrued liabilities: 249,822 423,226 $ $ 249,822 — 415,846 $ 7,380 $ Foreign currency derivative contracts $ 3,886 $ — $ 3,886 $ Other long-term liabilities: Deferred compensation plan liabilities Total liabilities 249,822 253,708 $ $ 249,822 — 249,822 $ 3,886 $ Assets/Liabilities Measured at Fair Value on a Non-Recurring Basis Non-Marketable Equity Securities — — — — — — — Equity investments in privately-held companies, also called non-marketable equity securities, are accounted for using either the measurement alternative or equity method of accounting. The non-marketable equity securities are measured and recorded at fair value when an event or circumstance which impacts the fair value of these securities indicates that the securities are impaired and the fair value of the securities is less than the carrying value. In such events, these equity investments would be classified within Level 3 as they are valued using significant unobservable inputs or data in an inactive market, and the valuation requires management judgment due to the absence of market price and inherent lack of liquidity. The Company monitors these investments and generally uses the income approach to assess impairments based primarily on the financial conditions of these companies. Note 8. Leases The Company has operating lease arrangements for office space, data center, equipment and other corporate assets. These leases have various expiration dates through March 31, 2032, some of which include options to extend the leases for up to 10 years. Because the Company is not reasonably certain to exercise these renewal options, the options are not considered in determining the lease term and associated potential option payments are excluded from lease payments. 75 Table of Contents The components of the Company’s lease expense during the period presented are as follows: SYNOPSYS, INC. Operating lease expense Variable lease expense (1) Total lease expense Year Ended October 31, 2020 (in thousands) $ $ 93,636 5,147 98,783 (1) Variable lease expense includes payments to lessors that are not fixed or determinable at lease commencement date. These payments primarily consist of maintenance, property taxes, insurance and variable indexed based payments. Supplemental cash flow information during the period presented is as follows: Cash paid for amounts included in the measurement of operating lease liabilities ROU assets obtained in exchange for operating lease liabilities Year Ended October 31, 2020 (in thousands) $ $ 72,828 69,439 Lease term and discount rate information related to the Company’s operating leases as of the end of the period presented are as follows: Weighted-average remaining lease term (in years) Weighted-average discount rate October 31, 2020 8.62 2.56% The following represents the maturities of the Company’s future lease payments due under operating leases as of October 31, 2020: Fiscal year 2021 2022 2023 2024 2025 Thereafter Total future minimum lease payments Less: Imputed interest Total lease liabilities Lease Payments (in thousands) $ $ 84,534 79,886 64,073 59,751 53,280 259,969 601,493 65,909 535,584 As of October 31, 2020, the Company has additional operating leases for facilities that have not yet commenced with future undiscounted lease payments of $58.6 million. These operating leases will commence before March 1, 2021, with lease terms between 3 years and 9 years. 76 Table of Contents SYNOPSYS, INC. As of October 31, 2019, the future minimum lease payments due under non-cancellable operating leases were as follows: Fiscal year 2020 2021 2022 2023 2024 Thereafter Total Minimum Lease Payments(1) (in thousands) $ $ 79,286 79,703 69,477 53,909 48,730 291,494 622,599 (1) Amounts based on Topic 840, Leases. In addition, certain facilities owned by the Company were leased to 3rd parties under non-cancellable operating lease agreements. These leases have annual escalating payments and have expiration dates through March 31, 2031 in accordance with the terms and conditions of the existing agreement. Lease payments due to the Company, over the remaining life of the leases, are approximately $69.6 million as of October 31, 2020. Note 9. Contingencies Legal Proceedings The Company is subject to routine legal proceedings, as well as demands, claims and threatened litigation that arise in the normal course of its business. The ultimate outcome of any litigation is often uncertain and unfavorable outcomes could have a negative impact on the Company’s results of operations and financial condition. The Company regularly reviews the status of each significant matter and assesses its potential financial exposure. If the potential loss from any claim or legal proceeding is considered probable and the amount is estimable, the Company accrues a liability for the estimated loss. Legal proceedings are inherently uncertain and as circumstances change, it is possible that the amount of any accrued liability may increase, decrease, or be eliminated. The Company has determined that, except as set forth below, no disclosure of estimated loss is required for a claim against the Company because: (1) there is not a reasonable possibility that a loss exceeding amounts already recognized (if any) may be incurred with respect to such claim; (2) a reasonably possible loss or range of loss cannot be estimated; or (3) such estimate is immaterial. Mentor Patent Litigation Prior to the legal settlement as further described below, the Company was engaged in complex patent litigation with Mentor Graphics Corporation (Mentor) involving several actions in different forums. The Company succeeded to the litigation when it acquired Emulation & Verification Engineering S.A. on October 4, 2012. Legal Settlement In March 2017, Siemens PLM Software (Siemens) acquired Mentor. On June 29, 2018, the Company, Siemens and Mentor settled all outstanding patent litigation between the Company and Mentor for a $65.0 million payment made in the current quarter from the Company to Mentor. The Company had previously accrued $39.0 million and recorded the remaining $26.0 million as an expense in the quarter ended July 31, 2018. As a result of the settlement, the litigation with Mentor was dismissed and the injunction entered in connection with that litigation was vacated. The settlement included mutual seven-year patent cross-licenses between the Company and Siemens, and between the Company and Mentor. The Company and Mentor also amended an existing interoperability agreement to collaborate on a wide range of EDA products for the benefit of their mutual customers. The amendment includes a one-time termination charge between $0.0 and $25.0 million, payable to Mentor under certain conditions. Tax Matters 77 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. The Company undergoes examination from time to time by U.S. and foreign authorities for non-income based taxes, such as sales, use and value-added taxes, and is currently under examination by tax authorities in certain jurisdictions. If the potential loss from such examinations is considered probable and the amount or the range of loss could be estimated, the Company would accrue a liability for the estimated expense. In addition to the foregoing, the Company is, from time to time, party to various other claims and legal proceedings in the ordinary course of its business, including with tax and other governmental authorities. For a description of certain of these other matters, refer to Note 13. Income Taxes. Note 10. Accumulated Other Comprehensive Income (Loss) Components of accumulated other comprehensive income (loss), on an after-tax basis where applicable, were as follows: Cumulative currency translation adjustments Unrealized gain (loss) on derivative instruments, net of taxes Total accumulated other comprehensive income (loss) Year Ended October 31, 2020 2019 (in thousands) $ $ (57,463) $ 3,389 (54,074) $ (87,929) (4,518) (92,447) The effect of amounts reclassified out of each component of accumulated other comprehensive income (loss) into net income was as follows: Year Ended October 31, 2020 2019 2018 (in thousands) Reclassifications from accumulated other comprehensive income (loss) into consolidated statements of operations: Gain (loss) on cash flow hedges, net of taxes Revenues Operating expenses Total reclassifications into net income $ $ 530 $ (603) (73) $ 1,436 $ (16,073) (14,637) $ 1,103 9,785 10,888 Amounts reclassified in fiscal 2020, 2019, and 2018 primarily consisted of gains (losses) from the Company’s cash flow hedging activities. See Note 6. Financial Assets and Liabilities. Note 11. Stock Repurchase Program The Company’s Board of Directors (the Board) previously approved a stock repurchase program pursuant to which the Company was authorized to purchase up to $500.0 million of its common stock and has periodically replenished the stock repurchase program to such amount. The Board replenished the stock repurchase program up to $500.0 million on June 19, 2020. The program does not obligate the Company to acquire any particular amount of common stock, and the program may be suspended or terminated at any time by the Company's Chief Financial Officer or the Board. The Company repurchases shares to offset dilution caused by ongoing stock issuances from existing equity plans for equity compensation awards and issuances related to acquisitions, and when management believes it is a good use of cash. Repurchases are transacted in accordance with Rule 10b-18 of the Securities Exchange Act of 1934, as amended (the Exchange Act) and may be made through any means, including, but not limited to, open market purchases, plans executed under Rule 10b5-1(c) of the Exchange Act and structured transactions. As of October 31, 2020, $457.9 million remained available for future repurchases under the program. In December 2019, the Company entered into an accelerated share repurchase agreement (the December 2019 ASR) to repurchase an aggregate of $100.0 million of the Company's common stock. Pursuant to the December 2019 ASR, the Company made a prepayment of $100.0 million to receive initial share deliveries of shares valued at $80.0 million. The remaining balance of $20.0 million was settled in February 2020. Total shares purchased under the December 2019 ASR were approximately 0.7 million shares, at an average purchase price of $149.75 per share. 78 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. In February 2020, the Company entered into an accelerated share repurchase agreement (the February 2020 ASR) to repurchase an aggregate of $100.0 million of the Company’s common stock. Pursuant to the February 2020 ASR, the Company made a prepayment of $100.0 million to receive initial share deliveries of shares valued at $80.0 million. The remaining balance of $20.0 million was settled in May 2020. Total shares purchased under the February 2020 ASR were approximately 0.7 million shares, at an average purchase price of $140.41 per share. Stock repurchase activities as well as the reissuance of treasury stock for employee stock-based compensation purposes are as follows: Year Ended October 31, 2020 2019 2018 Shares repurchased(1) Average purchase price per share(1) Aggregate purchase price(1) Reissuance of treasury stock (in thousands, except per share price) 1,585 2,732 $ $ 152.76 $ 242,078 $ 3,872 120.49 $ 329,185 $ 3,798 4,688 89.59 420,000 3,508 (1) The first quarter of fiscal 2018 includes the settlement of the $20.0 million equity forward contract related to the September 2017 ASR. Note 12. Employee Benefit Plans Employee Stock Purchase Plan Under the Company’s Employee Stock Purchase Plan (ESPP), participating employees are granted the right to purchase shares of common stock at a price per share that is 85% of the lesser of the fair market value of the shares at (1) the beginning of an offering period (generally, a rolling two year period) or (2) the purchase date (generally occurring at the end of each semi-annual purchase period), subject to the terms of ESPP, including a limit on the number of shares that may be purchased in a purchase period. On April 9, 2020, the Company’s stockholders approved an amendment to the ESPP to increase the number of shares of common stock authorized for issuance under the plan by 5.0 million shares. During fiscal 2020, 2019 and 2018, the Company issued 1.0 million, 1.2 million, and 1.2 million shares, respectively, under the ESPP at average per share prices of $103.41, $73.18 and $62.52, respectively. As of October 31, 2020, 13.8 million shares of common stock were reserved for future issuance under the ESPP. Equity Compensation Plans 2006 Employee Equity Incentive Plan. On April 25, 2006, the Company’s stockholders approved the 2006 Employee Equity Incentive Plan (2006 Employee Plan), which provides for the grant of incentive stock options, non-statutory stock options, restricted stock awards, restricted stock unit awards, stock appreciation rights and other forms of equity compensation, including performance stock awards and performance cash awards, as determined by the plan administrator. The terms and conditions of each type of award are set forth in the 2006 Employee Plan and in the award agreements governing particular awards. Options granted under this plan generally have a contractual term of seven years and generally vest over four years. On April 9, 2020, the Company's stockholders approved an amendment to, among other things, increase the number of shares of common stock reserved for future issuance under the 2006 Employee Plan by 3.5 million shares. As of October 31, 2020, an aggregate of 3.9 million stock options and 4.1 million restricted stock units were outstanding, and 12.1 million shares were available for future issuance under the 2006 Employee Plan. 2005 and 2017 Non-Employee Directors Equity Incentive Plans. On April 6, 2017, the Company’s stockholders approved the 2017 Non-Employee Directors Equity Incentive Plan (2017 Directors Plan). In connection with stockholder approval of the 2017 Directors Plan, the 2005 Non-Employee Directors Equity Incentive Plan (2005 Directors Plan) was terminated as of April 6, 2017, and no awards can be granted under the 2005 Directors Plan after that date. Under the 2005 Directors Plan, the Company granted options to purchase 188,709 shares of common stock, which vest over a period of three to four years, with an aggregate grant date fair value of $6.7 million, to non-employee 79 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. directors during fiscal 2007, fiscal 2011, fiscal 2015, and fiscal 2017. As of October 31, 2020, 29,222 stock options were outstanding under the 2005 Directors Plan. The 2017 Directors Plan provides for equity awards to non-employee directors in the form of stock options, restricted stock units, restricted stock or a combination thereof. On April 6, 2017, the Company’s stockholders approved an aggregate of 0.45 million shares of common stock reserved under the 2017 Directors Plan. For the fiscal year ended October 31, 2020, the Company issued an aggregate of 9,412 shares of restricted stock awards with an aggregate grant date fair value of approximately $1.3 million under the 2017 Directors Plan. Restricted stock awards generally vest on an annual basis under the 2017 Directors Plan. In addition, the Company granted options to purchase 5,998 shares of common stock, which vest over a period of three years, with an aggregate grant date fair value of $1.4 million. As of October 31, 2020, 9,412 shares of restricted stock were unvested and 5,998 stock options were outstanding, and a total of 389,682 shares of common stock were reserved for future grant under the 2017 Directors Plan. Other Assumed Stock Plans through Acquisitions. In connection with the Company’s acquisitions in fiscal 2008, fiscal 2010, fiscal 2012, fiscal 2014, fiscal 2015, fiscal 2017, and fiscal 2018 the Company assumed certain outstanding stock awards of acquired companies. If these assumed equity awards are canceled, forfeited or expire unexercised, the underlying shares do not become available for future grant. As of October 31, 2020, 0.1 million shares of the Company’s common stock remained subject to such outstanding assumed equity awards. Restricted Stock Units. Restricted stock units are granted under the 2006 Employee Plan as part of the Company’s incentive compensation program. In general, restricted stock units vest over three to four years and are subject to the employee's continuing service with the Company. Certain restricted stock units were granted with specific performance criteria and vest to the extent performance conditions are met. For each restricted stock unit granted under the 2006 Employee Plan, a share reserve ratio is applied for the purpose of determining the remaining number of shares reserved for future grants under the plan. As of October 31, 2020, the share reserve ratio was 1.70. The following table contains information concerning activities related to restricted stock units: Balance at October 31, 2017 Granted(2) Vested(1) Forfeited Balance at October 31, 2018 Granted Vested(1) Forfeited Balance at October 31, 2019 Granted Vested(1) Forfeited Balance at October 31, 2020 (1) Restricted Stock Units Weighted Average Grant Date Fair Value Weighted Average Remaining Contractual Life (In Years) Aggregate Fair Value (in thousands, except per share and life amounts) 3,843 $ 1,679 $ (1,495) $ (258) $ 3,769 $ 1,844 $ (1,508) $ (248) $ 3,857 $ 2,041 $ (1,480) $ (288) $ 4,130 $ 57.26 89.35 52.55 67.04 72.75 119.27 65.97 79.49 97.21 168.15 88.70 104.67 134.80 1.54 1.46 1.56 1.47 $ 136,417 $ 176,659 $ 261,563 80 The number of vested restricted stock units includes shares that were withheld on behalf of employees to satisfy the minimum statutory tax withholding requirements. The Company assumed unvested restricted stock units from acquisitions including Black Duck. (2) Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. The following table contains additional information concerning activities related to stock options and restricted stock units under all equity plans, other than shares available for grant under the 2017 Directors Plan: Options(2) Available for Grant(3) Options Outstanding Weighted- Average Exercise Price per Share Weighted- Average Remaining Contractual Life (In Years) Aggregate Intrinsic Value (in thousands, except per share and life amounts) Balance at October 31, 2017 Options granted Options assumed(2) Options exercised Options canceled/forfeited/expired Restricted stock units granted(1) Restricted stock units forfeited(1) Additional shares reserved Balance at October 31, 2018 Options granted Options exercised Options canceled/forfeited/expired Restricted stock units granted(1) Restricted stock units forfeited(1) Additional shares reserved Balance at October 31, 2019 Options granted Options exercised Options canceled/forfeited/expired Restricted stock units granted(1) Restricted stock units forfeited(1) Additional shares reserved Balance at October 31, 2020 Exercisable at October 31, 2020 12,583 (1,134) 157 (2,541) 374 3,000 12,439 (799) 129 (3,134) 373 3,200 12,208 (694) 102 (3,469) 482 3,500 12,129 6,530 $ 1,134 $ 141 $ (1,336) $ (178) $ 6,291 $ 799 $ (1,615) $ (185) $ 5,290 $ 700 $ (1,891) $ (106) $ 46.83 89.52 18.66 38.18 51.82 55.63 113.17 44.29 58.02 65.57 143.44 51.76 84.14 4.60 $ 263,555 4.39 $ 214,432 4.08 $ 373,112 3,993 $ 2,311 $ 85.26 65.36 4.10 $ 3.23 $ 513,845 343,230 (1) (2) (3) These amounts do not reflect the actual number of restricted stock units granted or forfeited but rather the effect on the total remaining shares available for future grants after the application of the share reserve ratio. For more information about the share reserve ratio, please see Restricted Stock Units above. The Company assumed options outstanding under various plans through acquisitions. Excluding shares reserved for future issuance under the 2017 Directors Plan. The aggregate intrinsic value in the preceding table represents the pretax intrinsic value based on stock options with an exercise price less than the Company’s closing stock price of $213.86 as of October 31, 2020. The pretax intrinsic value of options exercised and their average exercise prices were: Intrinsic value Average exercise price per share Year Ended October 31, 2020 2019 2018 (in thousands, except per share price) $ $ 218,640 $ 51.76 $ 110,815 $ 44.29 $ 71,840 38.18 81 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Restricted stock award activities during fiscal 2020 under the 2005 Directors Plan and 2017 Directors Plan are summarized as follows: Unvested at October 31, 2017 Granted Vested Forfeited Unvested at October 31, 2018 Granted Vested Forfeited Unvested at October 31, 2019 Granted Vested Forfeited Unvested at October 31, 2020 Restricted Shares Weighted- Average Grant Date Fair Value (in thousands, except per share) 38 $ 15 $ (32) $ (1) $ 20 $ 11 $ (20) $ — $ 11 $ 9 $ (11) $ — $ 9 $ 59.89 82.96 62.09 48.27 73.95 116.43 73.95 — 116.43 140.97 116.43 — 140.97 Valuation and Expense of Stock-Based Compensation. The Company estimates the fair value of stock-based awards in the form of stock options and employee stock purchase rights under employee stock purchase plans on the grant date. The value of awards expected to vest is recognized as expense over the applicable service periods. The Company uses the straight-line attribution method to recognize stock-based compensation costs over the service period of the award except for performance grants with specific performance criteria. With respect to such performance grants in each reporting period, the Company estimates the probability of achievement of applicable performance goals and recognizes related stock-based compensation expense using the graded-vesting method. The amount of stock-based compensation expense recognized in any one period can vary based on the attainment or expected attainment of the various performance goals. If such performance goals are not ultimately met, no compensation expense is recognized and any previously recognized compensation expense is reversed. The Company uses the Black-Scholes option-pricing model to determine the fair value of stock options, stock appreciation rights and employee stock purchase plan awards. The Black-Scholes option-pricing model incorporates various subjective assumptions including expected volatility, expected term and interest rates. The expected volatility for both stock options and stock purchase rights under the ESPP is estimated by a combination of implied volatility for publicly traded options of the Company’s common stock with a term of six months or longer and the historical stock price volatility over the estimated expected term of the Company’s stock-based awards. The expected term of the Company’s stock-based awards is based on historical experience. Restricted stock units are valued based on the closing price of the Company’s common stock on the grant date. The assumptions presented in the following table were used to estimate the fair value of stock options and employee stock purchase rights granted under the Company’s stock plans or stock plans assumed from acquisitions: 82 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Year Ended October 31, 2020 2019 2018 Stock Options Expected life (in years) Risk-free interest rate Volatility Weighted average estimated fair value ESPP Expected life (in years) Risk-free interest rate Volatility Weighted average estimated fair value 4.1 0.26% - 1.71% 4.1 1.28% - 2.73% 23.05% - 32.80% 23.16% - 24.76% 20.22% - 21.04% $22.86 4.1 2.10% - 2.95% $23.55 $33.02 0.5 - 2.0 0.09% - 1.24% 0.5 - 2.0 1.54% - 2.60% 25.59% - 43.06% 23.73% - 27.86% 19.99% - 21.54% $35.18 0.5 - 2.0 1.80% - 2.73% $23.34 $47.69 The compensation cost recognized in the consolidated statements of operations for the Company's stock compensation arrangements was as follows: Cost of products Cost of maintenance and service Research and development expense Sales and marketing expense General and administrative expense Stock-based compensation expense before taxes Income tax benefit Stock-based compensation expense after taxes Year Ended October 31, 2020 2019 2018 (in thousands) $ $ 27,193 $ 9,327 125,814 43,205 43,045 248,584 (39,077) 209,507 $ 17,193 $ 6,385 75,853 28,834 26,736 155,001 (26,226) 128,775 $ 14,648 5,467 67,355 28,069 24,493 140,032 (26,578) 113,454 As of October 31, 2020, the Company had $488.6 million of total unrecognized stock-based compensation expense relating to options and restricted stock units and awards, which is expected to be recognized over a weighted average period of 2.3 years. As of October 31, 2020, the Company had $55.8 million of total unrecognized stock- based compensation expense relating to the ESPP, which is expected to be recognized over a period of 2.0 years. Deferred Compensation Plan. The Company maintains the Synopsys Deferred Compensation Plan (Deferred Plan), which permits eligible employees to defer up to 50% of their annual cash base compensation and up to 100% of their eligible cash variable compensation. Amounts may be withdrawn from the Deferred Plan pursuant to elections made by the employees in accordance with the terms of the plan. Since the inception of the Deferred Plan, the Company has not made any matching or discretionary contributions to the Deferred Plan. There are no Deferred Plan provisions that provide for any guarantees or minimum return on investments. Undistributed amounts under the Deferred Plan are subject to the claims of the Company’s creditors. The securities held by the Deferred Plan are classified as trading securities. Deferred plan assets and liabilities are as follows: Plan assets recorded in other long-term assets Plan liabilities recorded in other long-term liabilities(1) (1) Undistributed deferred compensation balances due to participants. As of October 31, 2020 As of October 31, 2019 (in thousands) $ $ 269,737 $ 269,737 $ 249,822 249,822 Income or loss from the change in fair value of the Deferred Plan assets is recorded in other income (expense), net. The increase or decrease in the fair value of the undistributed Deferred Plan obligation is recorded in total cost of revenue and operating expense. The following table summarizes the impact of the Deferred Plan: 83 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Increase (reduction) to cost of revenue and operating expense Other income (expense), net Net increase (decrease) to net income Year Ended October 31, 2020 2019 2018 (in thousands) 21,469 $ 21,469 — $ 27,759 $ 27,759 — $ $ $ 4,636 4,636 — Other Retirement Plans. The Company sponsors various retirement plans for its eligible U.S. and non-U.S. employees. Total contributions to these plans were $54.7 million, $50.7 million, and $56.5 million in fiscal 2020, 2019, and 2018, respectively. For employees in the United States and Canada, the Company matches pretax employee contributions up to a maximum of U.S. $3,000 and Canadian $4,000, respectively, per participant per year. Note 13. Income Taxes The domestic and foreign components of the Company’s total income (loss) before provision for income taxes are as follows: United States Foreign Total income (loss) before provision for income taxes $ $ 544,391 $ 487,430 $ 93,768 58,076 638,159 $ 545,506 $ (18,029) 381,572 363,543 The components of the provision (benefit) for income taxes were as follows: Year Ended October 31, 2020 2019 2018 (in thousands) Current: Federal State Foreign Deferred: Federal State Foreign Year Ended October 31, 2020 2019 2018 (in thousands) $ 29,272 $ 22,821 $ 1,863 55,103 86,238 (84,739) (20,233) (6,554) (111,526) 11,846 61,092 95,759 (41,219) (7,227) (34,174) (82,620) (1,120) 2,025 140,430 141,335 (139,547) (25,661) (45,102) (210,310) Provision (benefit) for income taxes $ (25,288) $ 13,139 $ (68,975) 84 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. The provision (benefit) for income taxes differs from the taxes computed with the statutory federal income tax rate as follows: Statutory federal tax State tax (benefit), net of federal effect Tax credits Tax on foreign earnings Foreign-derived intangible income deduction Tax settlements Stock-based compensation Changes in valuation allowance Integration of acquired technologies Undistributed earnings of foreign subsidiaries Impact of tax restructuring Impact of Tax Act rate change Transition tax Other Year Ended October 31, 2020 2019 2018 (in thousands) $ 133,979 $ 114,557 $ (29,096) (39,206) (3,980) (24,282) (13,167) (50,047) (614) — — — — — 6,529 (34,485) 23,467 (26,615) (10,953) (25,356) (42,144) — 6,341 — — — 1,125 1,798 85,142 (32,351) (35,142) (104,252) — (14,691) (19,293) 78,192 27,927 (974) (171,979) 51,075 63,107 4,264 Provision (benefit) for income taxes $ (25,288) $ 13,139 $ (68,975) The integration of acquired technologies represents the income tax effect resulting from the transfer of certain intangible assets among company-controlled entities. These intangible assets generally result from the acquisition of technology by a company-controlled entity as part of a business or asset acquisition. The Tax Cuts and Jobs Act (Tax Act), enacted on December 22, 2017, lowered the statutory federal corporate income tax rate from 35% to 21% effective on January 1, 2018. Beginning in the Company's fiscal 2019, the annual statutory federal corporate tax rate is 21%. The Tax Act includes certain new tax provisions listed below which apply to the Company beginning in fiscal 2019. • A tax on global intangible low-tax income (GILTI), which is determined annually based on the Company's aggregate foreign subsidiaries' income in excess of certain qualified business asset investment return. In fiscal 2019, the Company adopted an accounting policy to account for the tax effects of GILTI in the period that it is subject to such tax. • A base erosion and anti-abuse tax (BEAT), which functions as a minimum tax that partially disallows deductions for certain related party transactions and certain tax credits. • A special tax deduction for foreign-derived intangible income (FDII), which, in general, allows a deduction of certain intangible income earned in the U.S. and derived from foreign sources. The Tax Act also provides an exemption from federal income taxes for distributions from foreign subsidiaries made after December 31, 2017, that were not subject to the one-time transition tax. The Company has provided for foreign withholding taxes on undistributed earnings of certain of its foreign subsidiaries to the extent such earnings are no longer considered to be indefinitely reinvested in the operations of those subsidiaries. The Tax Act required the Company to pay a one-time transition tax of 15.5% on previously untaxed earnings represented by foreign cash and certain other net current assets, and 8% on the remaining earnings. In fiscal 2018, the Company recorded a tax expense of $63.1 million. Based on subsequent judicial rulings in fiscal 2019 (including Altera Corp. et al. v. Commissioner and the Hungarian Administrative Court ruling, see Non-U.S. Examinations below) the Company recorded a tax benefit of $17.9 million related to the one-time transition tax. 85 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. On July 27, 2015, the United States Tax Court (Tax Court) issued an opinion (Altera Corp. et al. v. Commissioner) regarding the treatment of stock-based compensation expense in intercompany cost-sharing arrangements. In view of the Tax Court opinion, the Company amended its cost-sharing arrangement effective February 1, 2016 to exclude stock-based compensation expense on a prospective basis and reflected the corresponding benefits in its income tax expense for fiscal years 2016, 2017 and 2018. On July 24, 2018, the United States Court of Appeals for the Ninth Circuit (Ninth Circuit) reversed the decision of the Tax Court, and then subsequently withdrew its decision on August 7, 2018. A rehearing of the case was held on October 16, 2018 and on June 7, 2019, the Ninth Circuit overturned the July 27, 2015 Tax Court decision. In the third quarter of 2019, as a result of the Ninth Circuit decision, the Company recorded a tax expense of $18.3 million, which is net of estimated U.S. foreign tax credits for the tax assessments related to fiscal years 2016, 2017 and 2018. The Company's intercompany cost-sharing arrangement was terminated at the end of fiscal 2018 as part of the tax restructuring. The significant components of deferred tax assets and liabilities were as follows: Net deferred tax assets: Deferred tax assets: Deferred revenue Deferred compensation Intangible and depreciable assets Capitalized research and development costs Stock-based compensation Tax loss carryovers Foreign tax credit carryovers Research and other tax credit carryovers Operating Lease Liabilities Gross deferred tax assets Valuation allowance Total deferred tax assets Deferred tax liabilities: Intangible assets Operating lease Right-of-Use-Assets Accruals and reserves Deferred revenue Undistributed earnings of foreign subsidiaries Other Total deferred tax liabilities Net deferred tax assets October 31, 2020 2019 (in thousands) 2,367 55,172 115,097 118,857 28,478 35,571 18,645 320,317 101,386 795,890 (158,895) 636,995 45,915 84,716 7,780 — 3,063 372 141,846 495,149 $ — 56,483 160,072 48,804 20,372 40,068 20,187 278,382 — 624,368 (157,343) 467,025 58,697 — 4,450 6,611 6,864 1,762 78,384 388,641 $ It is more likely than not that the results of future operations will be able to generate sufficient taxable income to realize the net deferred tax assets. The valuation allowance provided against the Company's deferred tax assets as of October 31, 2020 is mainly attributable to international foreign tax credits and the California research credits. The valuation allowance increased by a net of $1.6 million in fiscal 2020 primarily related to the realizability of U.S. foreign tax credits offset by the net increase of valuation allowance on California research credits. 86 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. The Company has the following tax loss and credit carryforwards available to offset future income tax liabilities: Carryforward Federal net operating loss carryforward Federal research credit carryforward Federal foreign tax credit carryforward International foreign tax credit carryforward International net operating loss carryforward California research credit carryforward Other state research credit carryforward State net operating loss carryforward $ Amount (in thousands) 41,757 176,616 1,921 15,681 81,069 173,600 15,486 70,251 Expiration Date 2021-2037 2021-2040 2021-2029 Indefinite 2021-Indefinite Indefinite 2024-2035 2027-2039 The federal and state net operating loss carryforward is from acquired companies and the annual use of such loss is subject to significant limitations under Internal Revenue Code Section 382 and certain provisions of the Tax Act. Foreign tax credits may only be used to offset tax attributable to foreign source income. The gross unrecognized tax benefits decreased by approximately $33.1 million during fiscal 2020 resulting in gross unrecognized tax benefits of $83.1 million as of October 31, 2020. A reconciliation of the beginning and ending balance of gross unrecognized tax benefits is summarized as follows: Beginning balance Increases in unrecognized tax benefits related to prior year tax positions Decreases in unrecognized tax benefits related to prior year tax positions Increases in unrecognized tax benefits related to current year tax positions Decreases in unrecognized tax benefits related to settlements with taxing authorities Reductions in unrecognized tax benefits due to lapse of applicable statute of limitations Increases in unrecognized tax benefits acquired Changes in unrecognized tax benefits due to foreign currency translation As of October 31, 2020 As of October 31, 2019 (in thousands) $ 116,212 $ 5,390 (43,783) 9,226 (1,411) (2,472) 778 (791) 131,019 41,346 (71,092) 16,927 (1,624) (964) — 600 Ending balance $ 83,149 $ 116,212 As of October 31, 2020 and 2019, approximately $83.1 million and $116.2 million, respectively, of the unrecognized tax benefits would affect the Company's effective tax rate if recognized upon resolution of the uncertain tax positions. Interest and penalties related to estimated obligations for tax positions taken in the Company’s tax returns are recognized as a component of income tax expense (benefit) in the consolidated statements of operations and totaled approximately $0.2 million, $0.3 million and $9.4 million for fiscal years 2020, 2019 and 2018, respectively. As of October 31, 2020 and 2019, the combined amount of accrued interest and penalties related to tax positions taken on the Company’s tax returns was approximately $13.1 million and $12.8 million, respectively. The timing of the resolution of income tax examinations, and the amounts and timing of various tax payments that are part of the settlement process, are highly uncertain. Variations in such amounts and/or timing could cause large fluctuations in the balance sheet classification of current and non-current assets and liabilities. The Company believes that in the coming 12 months, it is reasonably possible that either certain audits and ongoing tax litigation will conclude or the statute of limitations on certain state and foreign income and withholding taxes will expire, or both. Given the uncertainty as to ultimate settlement terms, the timing of payment and the impact of such settlements on other uncertain tax positions, the range of the estimated potential decrease in underlying unrecognized tax benefits is between $0.0 and $42.5 million. 87 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. The Company and/or its subsidiaries remain subject to tax examination in the following jurisdictions: Jurisdiction United States California Hungary Ireland Japan and Taiwan Korea Year(s) Subject to Examination Fiscal 2019 and 2020 Fiscal years after 2017 Fiscal years after 2018 Fiscal years after 2016 Fiscal years after 2015 Fiscal years after 2016 In addition, the Company has made acquisitions with operations in several of its significant jurisdictions which may have years subject to examination different from the years indicated in the above table. Intra-Entity Transfers of Assets In October 2016, the FASB issued ASU 2016-16, "Income Taxes (Topic 740), Intra-Entity Transfers of Assets Other Than Inventory.” This ASU requires the immediate recognition of current and deferred income tax effects of intra- entity transfers of assets other than inventory. This ASU was adopted on the first day of fiscal 2019. As a result of the adoption, the Company recorded a decrease of approximately $130.5 million in retained earnings as of the beginning of the period of adoption, with a corresponding decrease in prepaid taxes related to the unamortized tax expense attributed to intra-entity transfers of assets other than inventory previously deferred. The Company will recognize the income tax consequences of new intra-entity transfers of assets other than inventory in the consolidated statements of operations in the period when the transaction takes place. IRS Examinations In fiscal 2020, the Company reached partial settlement with the Examination Division of the IRS for fiscal 2019 and recognized approximately $6.3 million in unrecognized tax benefits, primarily due to the allowance of certain foreign tax credits and research tax credits. In fiscal 2019, the Company reached final settlement with the Examination Division of the IRS for fiscal 2018 and recognized approximately $5.4 million in unrecognized tax benefits and realized $28.1 million of foreign tax credits. In fiscal 2018, the Company reached final settlement with the Examination Division of the IRS for fiscal 2017 and recognized approximately $21.8 million in unrecognized tax benefits, primarily due to the allowance of certain foreign tax credits, and research tax credits from acquired companies. State Examinations In fiscal 2020, the Company reached final settlement with the California Franchise Tax Board for fiscal 2015, 2016, and 2017. As a result of the settlement, the Company recognized $20.2 million in unrecognized tax benefits and increased its valuation allowance by $20.2 million. Non-U.S. Examinations Hungarian Tax Authority In July 2017, the Hungarian Tax Authority (the HTA) issued a final assessment against the Company's Hungarian subsidiary (Synopsys Hungary) for fiscal years 2011 through 2013. The HTA has applied withholding taxes on certain payments made to affiliates, resulting in an aggregate tax assessment of approximately $25.0 million and interest and penalties of $11.0 million (at current exchange rates). On August 2, 2017, Synopsys Hungary filed a claim contesting the final assessment with the Hungarian Administrative Court. In the first quarter of fiscal 2018, Synopsys Hungary paid the assessments, penalties and interest as required by law and recorded these amounts as prepaid taxes on its balance sheet, while continuing its challenge to the assessment through the Hungarian Administrative Court. On April 30, 2019, the Hungarian Administrative Court (the Court) ruled against Synopsys Hungary. The Court's opinion was received on May 16, 2019 and the Company filed an appeal with the Hungarian Supreme Court on July 5, 2019. In the second quarter of 2019, as a result of the Court's decision, the Company 88 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. recorded a tax expense due to an unrecognized tax benefit of $17.4 million, which is net of estimated U.S. foreign tax credits for the tax assessments. The Hungarian Supreme Court heard the Company's appeal on November 12, 2020 and issued a ruling from the bench to remand the case to the Hungarian Administrative Court for further proceedings. The Company expects to receive the Hungarian Supreme Court's written decision in the first quarter of fiscal 2021. In fiscal 2020, the Company reached final settlement with the HTA for fiscal years 2014 through 2018. As a result of the settlement, the Company recognized tax expense of $1.4 million, and recognized $6.9 million in unrecognized tax benefits. National Taxation Bureau of Taipei In fiscal 2019, the Company reached final settlement with the National Taxation Bureau of Taipei for fiscal year 2017 and recognized $5.5 million in previously unrecognized tax benefits. Note 14. Other Income (Expense), Net The following table presents the components of other income (expense), net: Interest income Interest expense Gain (loss) on assets related to deferred compensation plan Foreign currency exchange gain (loss) Other, net Total Note 15. Segment Disclosure Year Ended October 31, 2020 2019 2018 (in thousands) $ $ 3,561 $ (5,140) 21,469 5,544 (7,416) 18,018 $ 6,859 $ (11,659) 27,759 3,588 (1,272) 25,275 $ 5,323 (15,607) 4,636 3,557 5,409 3,318 Segment reporting is based upon the “management approach,” i.e., how management organizes the Company’s operating segments for which separate financial information is (1) available and (2) evaluated regularly by the CODMs in deciding how to allocate resources and in assessing performance. Synopsys’ CODMs are its two Co- Chief Executive Officers. Financial information provided to and used by the CODMs to assist in making operational decisions, allocating resources, and assessing performance reflects consolidated financial information as well as revenue, adjusted operating income, and adjusted operating margin information for the Semiconductor & System Design and Software Integrity segments, accompanied by disaggregated information relating to revenue by geographic region. 89 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Information by reportable segment was as follows: Total Segments: Revenue Adjusted operating income Adjusted operating margin Semiconductor & System Design: Revenue Adjusted operating income Adjusted operating margin Software Integrity: Revenue Adjusted operating income Adjusted operating margin Year Ended October 31, 2020 2019 2018 (in thousands) $ 3,685,281 $ 3,360,694 $ 3,121,058 1,031,630 838,821 690,681 28% 25% 22 % $ 3,327,211 $ 3,026,097 $ 2,840,589 990,837 806,618 701,283 30% 27% 25 % $ 358,070 $ 334,597 $ 280,469 40,793 11% 32,203 (10,602) 10% (4)% Certain operating expenses are not allocated to the segments and are managed at a consolidated level. The unallocated expenses managed at a consolidated level, including amortization of intangible assets, stock compensation and other operating expenses, are presented in the table below to provide a reconciliation of the total adjusted operating income from segments to the Company's consolidated operating income: Year Ended October 31, 2020 2019 2018 (in thousands) Total segment adjusted operating income $ 1,031,630 $ 838,821 $ 690,681 Reconciling items: Amortization of intangible expense Stock-based compensation expense Other Total operating income (91,281) (248,584) (71,624) (100,914) (155,001) (62,675) $ 620,141 $ 520,231 $ (125,664) (140,032) (64,760) 360,225 The CODMs do not use total assets by segment to evaluate segment performance or allocate resources. As a result, total assets by segment are not required to be disclosed. In allocating revenue to particular geographic areas, the CODMs consider where individual “seats” or licenses to the Company’s products are located. Revenue is defined as revenue from external customers. Revenue and property and equipment, net, related to operations in the United States and other geographic areas were: Revenue: United States Europe China Korea Other Consolidated Year Ended October 31, 2020 2019 2018 (in thousands) $ $ 1,774,348 $ 385,287 420,829 389,008 715,809 3,685,281 $ 1,676,178 $ 349,033 321,777 353,358 660,348 3,360,694 $ 1,508,224 369,125 259,279 307,974 676,456 3,121,058 90 Table of Contents NOTES TO CONSOLIDATED FINANCIAL STATEMENTS—Continued SYNOPSYS, INC. Property and Equipment, net: United States Other countries Total As of October 31, 2020 2019 (in thousands) $ $ 311,350 $ 172,468 483,818 $ 293,725 135,807 429,532 Geographic revenue data for multi-regional, multi-product transactions reflect internal allocations and are therefore subject to certain assumptions and to the Company’s methodology. One customer, including its subsidiaries, accounted for 12.4%, 12.8%, and 15.4% of the Company’s consolidated revenue in fiscal 2020, 2019, and 2018, respectively. Note 16. Effect of New Accounting Pronouncements In June 2016, the FASB issued ASU 2016-13, Financial Instruments—Credit Losses (Topic 326): Measurement of Credit Losses on Financial Instruments (ASU 2016-13) and subsequently issued amendments to the initial guidance: ASU 2018-19, ASU 2019-04 and ASU 2019-05 (collectively, Topic 326). Topic 326 requires measurement and recognition of expected credit losses for financial assets held. Topic 326 is effective for fiscal 2021, and earlier adoption is permitted beginning in the first quarter of fiscal 2020. The adoption of Topic 326 will not have material impact to the Company’s consolidated financial statements. Supplementary Data - Selected Unaudited Quarterly Financial Data The table below includes certain unaudited financial information for the last eight fiscal quarters. See Note 2. Summary of Significant Accounting Policies for information on the Company's fiscal year end. 2020 Revenue Gross margin Income before provision for income taxes Net income attributed to Synopsys Net income per share Basic Diluted(1) 2019 Revenue Gross margin Income before provision for income taxes Net income attributed to Synopsys Net income per share Basic Diluted(1) Quarter Ended January 31, April 30, July 31, October 31, (in thousands, except per share amounts) $ $ $ $ 834,381 $ 641,513 99,573 104,061 861,327 $ 677,062 110,166 109,920 964,134 $ 1,025,439 800,890 771,126 192,037 236,383 197,455 252,911 0.69 $ 0.67 0.73 $ 0.71 1.67 $ 1.62 1.30 1.26 820,401 $ 627,509 147,055 153,514 836,242 $ 645,563 133,917 118,210 852,970 $ 666,338 132,911 99,929 851,081 668,338 131,623 160,714 1.03 $ 1.01 0.79 $ 0.77 0.67 $ 0.65 1.07 1.04 (1) may not equal to the total computed for the year or any cumulative interim period. Net income per share is computed independently. Therefore, the sum of the quarterly net income per share 91 Table of Contents Item 9. Changes in and Disagreements with Accountants on Accounting and Financial Disclosure Not applicable. Item 9A. Controls and Procedures (a) Evaluation of Disclosure Controls and Procedures. As of October 31, 2020, Synopsys carried out an evaluation under the supervision and with the participation of Synopsys’ management, including the Co- Chief Executive Officers and Chief Financial Officer, of the effectiveness of the design and operation of Synopsys’ disclosure controls and procedures (as such term is defined in Rules 13a-15(e) and 15d-15(e) under the Exchange Act). There are inherent limitations to the effectiveness of any system of disclosure controls and procedures. Accordingly, even effective disclosure controls and procedures can only provide reasonable, not absolute, assurance of achieving their control objectives. Our Co-Chief Executive Officers and Chief Financial Officer have concluded that, as of October 31, 2020, Synopsys’ disclosure controls and procedures were effective to provide reasonable assurance that information required to be disclosed in the reports Synopsys files and submits under the Exchange Act is recorded, processed, summarized and reported as and when required, and that such information is accumulated and communicated to Synopsys’ management, including the Co-Chief Executive Officers and Chief Financial Officer, to allow timely decisions regarding its required disclosure. (b) Management’s Report on Internal Control Over Financial Reporting. Our management is responsible for establishing and maintaining adequate internal control over financial reporting (as defined in Rules 13a-15(f) and 15d-15(f) under the Exchange Act) for Synopsys. Under the supervision and with the participation of our management, including our Co-Chief Executive Officers and Chief Financial Officer, we conducted an evaluation of the effectiveness of our internal control over financial reporting as of October 31, 2020. In assessing the effectiveness of our internal control over financial reporting, our management used the framework established in Internal Control Integrated Framework (2013) issued by The Committee of Sponsoring Organizations of the Treadway Commission (COSO). Our management has concluded that, as of October 31, 2020, our internal control over financial reporting was effective based on these criteria. Our independent registered public accounting firm, KPMG LLP, has issued an auditors’ report on the effectiveness of our internal control over financial reporting, which is included herein. (c) Changes in Internal Control Over Financial Reporting. On November 3, 2019, Synopsys implemented new and modified existing internal controls for the adoption of the new lease accounting standard, ASC 842. There were no additional changes in Synopsys’ internal control over financial reporting during the fiscal quarter ended October 31, 2020 that have materially affected, or are reasonably likely to materially affect, Synopsys’ internal control over financial reporting. Item 9B. Other Information Item 5.02 Departure of Directors or Certain Officers; Election of Directors; Appointment of Certain Officers; Compensatory Arrangements of Certain Officers. On December 10, 2020, Steven C. Walske notified the Company of his decision not to stand for re-election to Synopsys’ Board of Directors at its 2021 Annual Meeting of Stockholders (the 2021 Annual Meeting). Mr. Walske’s decision not to stand for re-election was not the result of any disagreement with Synopsys on any matter. Mr. Walske will continue to serve as a director and audit committee member until his term ends at the 2021 Annual Meeting, and the Company is thankful for his dedicated service. Item 5.03 Amendments to Articles of Incorporation or Bylaws; Change in Fiscal Year. On December 10, 2020, the Board of Directors amended and restated the bylaws of the Company (as so amended, the Amended and Restated Bylaws), effective immediately. The Amended and Restated Bylaws, among other things: (i) add the ability for stockholders holding not less than 20% of all outstanding shares of capital stock of the Company, which shares are held for not less than one (1) year prior to the date of the request, to request a 92 Table of Contents special meeting of the stockholders; and (ii) provide that directors shall be elected by a majority of the votes cast by stockholders with respect to his or her election at a meeting for the election of directors, except that, if the number of nominees for election at any such meeting exceeds the number of directors to be elected at such meeting, each director to be so elected shall be elected by a plurality of votes cast by stockholders. The foregoing summary of the Amended and Restated Bylaws does not purport to be complete and is qualified in its entirety by reference to the complete text of the Amended and Restated Bylaws, which are attached hereto as Exhibit 3.2 and are incorporated herein by reference. 93 Table of Contents PART III Item 10. Directors, Executive Officers and Corporate Governance For information with respect to our executive officers, see Executive Officers of the Registrant in Part I, Item 1 of this Annual Report. All other information required by this Item is incorporated herein by reference from our definitive Proxy Statement for the 2021 Annual Meeting (the Proxy Statement) scheduled to be held on April 8, 2021, as provided under the headings “Proposal 1: Election of Directors,” “Audit Committee Report,” and “Corporate Governance.” Item 11. Executive Compensation The information required by this Item is incorporated herein by reference from the Proxy Statement, as provided under the headings “Compensation Discussion and Analysis” (and all subheadings thereunder), "Executive Compensation Tables" (and all subheadings thereunder), "Director Compensation," “Compensation Committee Interlocks and Insider Participation,” and “Compensation Committee Report.” Item 12. Security Ownership of Certain Beneficial Owners and Management and Related Stockholder Matters The information required by this Item is incorporated herein by reference from the Proxy Statement, as provided under the headings “Equity Compensation Plan Information” and “Security Ownership of Certain Beneficial Owners and Management.” Item 13. Certain Relationships and Related Transactions and Director Independence The information required by this Item is incorporated herein by reference from the Proxy Statement, as provided under the headings “Certain Relationships and Related Transactions” and “Corporate Governance” (under the subheading “Director Independence”). Item 14. Principal Accountant Fees and Services The information required by this Item is incorporated herein by reference from the Proxy Statement, as provided under the subheadings "Fees and Services of Independent Registered Public Accounting Firm" and "Audit Committee Pre-Approval Policies and Procedures" under the proposal titled “Ratification of Selection of Independent Registered Public Accounting Firm.” 94 Table of Contents PART IV Item 15. Exhibits and Financial Statement Schedules (a) The following documents are filed as part of this Form 10-K: (1) Financial Statements The following documents are included as Part II, Item 8 of this Form 10-K: Report of Independent Registered Public Accounting Firm Consolidated Balance Sheets Consolidated Statements of Operations Consolidated Statements of Comprehensive Income Consolidated Statements of Stockholders’ Equity Consolidated Statements of Cash Flows Notes to Consolidated Financial Statements (2) Financial Statement Schedules Page 50 52 53 54 55 56 57 Schedules not listed above have been omitted because the information required to be set forth therein is not applicable or is shown in the financial statements or notes herein. (3) Exhibits See Item 15(b) below. (b) Exhibits Exhibit Number 3.1 3.2 4.1 4.2 Exhibit Description Amended and Restated Certificate of Incorporation Amended and Restated Bylaws Specimen Common Stock Certificate Description of Synopsys' Stock EXHIBIT INDEX Incorporated By Reference Form 10-Q File No. 000-19807 Exhibit 3.1 Filing Date 9/15/2003 Filed or Furnished Herewith S-1 33-45138 4.3 2/24/1992 (effective date) X X 95 Table of Contents Exhibit Number 10.1 10.2 10.2(i)† 10.2(ii) 10.2(iii) 10.3* 10.4* 10.5* 10.6* 10.7* 10.8* Exhibit Description Amended and Restated Credit Agreement, dated November 28, 2016, among Synopsys as Borrower, the several Lenders from time to time parties thereto, Bank of America, N.A., the Bank of Tokyo-Mitsubishi UFJ, Ltd. and Wells Fargo Bank, N.A. as Co-Syndication Agents, HSBC Bank USA, N.A. and U.S. Bank N.A. as Co-Documentation Agents, JPMorgan Chase Bank, N.A., as Administrative Agent, and JPMorgan Chase Bank, N.A., Merrill Lynch, Pierce, Fenner & Smith Incorporated, the Bank of Tokyo-Mitsubishi UFJ, Ltd. and Wells Fargo Securities, LLC, as Co-Lead Arrangers and Co- Bookrunners Lease Agreement dated October 14, 2011 between Synopsys, Inc. and 690 E. Middlefield Road Fee, LLC, (“The October 14, 2011 Lease”) Notification of Change of Ownership of Leased Premises under The October 14, 2011 Lease— Effective May 9, 2012 First Amendment to The October 14, 2011 Lease Second Amendment to The October 14, 2011 Lease 2006 Employee Equity Incentive Plan, as amended Form of Restricted Stock Unit Grant Notice and Award Agreement under 2006 Employee Equity Incentive Plan Form of Notice of Grant of Stock Options and Option Agreement under 2006 Employee Equity Incentive Plan Employee Stock Purchase Plan, as amended 2017 Non-Employee Directors Equity Incentive Plan Form of Restricted Stock Grant Notice and Award Agreement under 2017 Non-Employee Directors Equity Incentive Plan Incorporated By Reference Form 8-K File No. 000-19807 Exhibit 10.1 Filing Date 11/30/2016 Filed or Furnished Herewith 10-K 000-19807 10.19 12/16/2011 10-K 000-19807 10.10(i) 12/20/2012 10-Q 000-19807 10.10(ii) 3/4/2013 10-Q 000-19807 10.10(iii) 5/22/2015 8-K 8-K 000-19807 000-19807 10.4 10.5 4/15/2020 4/6/2018 8-K 000-19807 10.6 4/6/2018 8-K 8-K 000-19807 000-19807 10.7 10.8 4/15/2020 4/10/2017 10-K 000-19807 10.9 12/14/2017 96 Table of Contents Exhibit Number 10.9* 10.10* 10.11* 10.12 10.13* 10.14* 10.15* 10.16* 10.17* Exhibit Description Form of Stock Options Grant Notice and Option Agreement under 2017 Non-Employee Directors Equity Incentive Plan Deferred Compensation Plan as restated effective August 1, 2002 Synopsys Amended and Restated Deferred Compensation Plan II Form of Indemnification Agreement for directors and executive officers Director’s and Officer’s Insurance and Company Reimbursement Policy Amended and Restated Employment Agreement, dated December 15, 2016 between Synopsys, Inc. and Dr. Aart de Geus Amended and Restated Employment Agreement, dated December 15, 2016 between Synopsys, Inc. and Dr. Chi-Foon Chan Executive Incentive Plan, as amended Amended and Restated Executive Change of Control Severance Benefit Plan Incorporated By Reference Form 10-K File No. 000-19807 Exhibit 10.10 Filing Date 12/14/2017 Filed or Furnished Herewith 10-Q 000-19807 10.5 6/10/2004 10-Q 000-19807 10.23 3/9/2009 8-K 000-19807 99.2 7/14/2011 S-1 33-45138 10.2 2/24/1992 (effective date) 8-K 000-19807 10.16 12/21/2016 8-K 000-19807 10.17 12/21/2016 8-K 8-K 000-19807 10.18 12/21/2016 000-19807 10.19 12/21/2016 10.18* Compensation Recovery Policy 10-K 000-19807 10.46 12/22/2008 21.1 23.1 24.1 31.1 31.2 31.3 Subsidiaries of Synopsys, Inc. Consent of KPMG LLP, Independent Registered Public Accounting Firm Power of Attorney (see signature page to this Annual Report on Form 10- K) Certification of Co-Chief Executive Officer pursuant to Rule 13a-14(a) or Rule 15d-14(a) of the Exchange Act Certification of Co-Chief Executive Officer pursuant to Rule 13a-14(a) or Rule 15d-14(a) of the Exchange Act Certification of Chief Financial Officer pursuant to Rule 13a-14(a) or Rule 15d-14(a) of the Exchange Act 97 X X X X X X Table of Contents Exhibit Number 32.1 Exhibit Description Form File No. Exhibit Filing Date Incorporated By Reference Certification of Co-Chief Executive Officers and Chief Financial Officer furnished pursuant to Rule 13a-14(b) or Rule 15d-14(b) of the Exchange Act and Section 1350 of Chapter 63 of Title 18 of the United States Code 101.INS Inline XBRL Instance Document 101.SCH Inline XBRL Taxonomy 101.CAL 101.DEF 101.LAB Extension Schema Document Inline XBRL Taxonomy Extension Calculation Linkbase Document Inline XBRL Taxonomy Extension Definition Linkbase Document Inline XBRL Taxonomy Extension Label Linkbase Document 101.PRE Inline XBRL Taxonomy Extension Presentation Linkbase Document 104 Cover Page Interactive Data File (embedded within the Inline XBRL document) Filed or Furnished Herewith X X X X X X X Indicates a management contract, compensatory plan or arrangement. * † We have requested confidential treatment for certain portions of this document pursuant to an application for confidential treatment sent to the SEC. We omitted such portions from this filing and filed them separately with the SEC. 98 Table of Contents Pursuant to the requirements of Section 13 or 15(d) of the Securities Exchange Act of 1934, the registrant has duly caused this report to be signed on its behalf by the undersigned, thereunto duly authorized. SIGNATURES Date: December 14, 2020 SYNOPSYS, INC. By: /s/ Trac Pham Trac Pham Chief Financial Officer (Principal Financial Officer) 99 Table of Contents POWER OF ATTORNEY KNOW ALL PERSONS BY THESE PRESENTS, that each person whose signature appears below constitutes and appoints Aart J. de Geus, Chi-Foon Chan and Trac Pham, and each of them, as his true and lawful attorneys-in-fact and agents, with full power of substitution and reconstitution, for him and in his name, place and stead, in any and all capacities, to sign any and all amendments to this Annual Report on Form 10-K, and to file the same, with all exhibits thereto, and other documents in connection therewith, with the Securities and Exchange Commission, granting unto said attorneys-in-fact and agents, and each of them, full power and authority to do and perform each and every act and thing requisite and necessary to be done in connection therewith, as fully to all intents and purposes as he might or could do in person, hereby ratifying and confirming all that said attorneys-in-fact and agents, or any of them, or their or his substitute or substitutes, may lawfully do or cause to be done by virtue hereof. Pursuant to the requirements of the Securities Exchange Act of 1934, this report has been signed below by the following persons on behalf of the registrant and in the capacities and on the dates indicated: Name Title Date Co-Chief Executive Officer (Co- Principal Executive Officer) and Chairman of the Board of Directors December 14, 2020 Co-Chief Executive Officer (Co-Principal Executive Officer), President and Director December 14, 2020 /S/ AART J. DE GEUS Aart J. de Geus /S/ CHI-FOON CHAN Chi-Foon Chan /S/ TRAC PHAM Trac Pham /S/ SUDHINDRA KANKANWADI Sudhindra Kankanwadi /S/ JANICE D. CHAFFIN Janice D. Chaffin /S/ BRUCE R. CHIZEN Bruce R. Chizen /S/ MERCEDES JOHNSON Mercedes Johnson Chief Financial Officer (Principal Financial Officer) SVP, Chief Accounting Officer (Principal Accounting Officer) Director Director Director /S/ CHRYSOSTOMOS L. NIKIAS Chrysostomos L. Nikias Director /s/ JEANNINE SARGENT Jeannine Sargent /S/ JOHN G. SCHWARZ John G. Schwarz /S/ ROY VALLEE Roy Vallee /S/ STEVEN C. WALSKE Steven C. Walske Director Director Director Director 100 December 14, 2020 December 14, 2020 December 14, 2020 December 14, 2020 December 14, 2020 December 14, 2020 December 14, 2020 December 14, 2020 December 14, 2020 December 14, 2020 EXHIBIT 3.2 AMENDED AND RESTATED BYLAWS OF SYNOPSYS, INC. (as amended and restated on December 10, 2020) ARTICLE I OFFICES Section 1. Castle, State of Delaware. The registered office shall be in the City of Wilmington, County of New Section 2. The corporation may also have offices at such other places both within and without the State of Delaware as the Board of Directors of the corporation (the “Board”) may from time to time determine or the business of the corporation may require. ARTICLE II MEETINGS OF STOCKHOLDERS Section 1. All meetings of the stockholders may be held at such place either within or without the State of Delaware as shall be designated from time to time by the Board and stated in the notice of the meeting. The Board may, in its sole discretion, determine that the meeting shall not be held at any place, but may instead be held solely by means of remote communication as provided under the Delaware General Corporation Law (“DGCL”). (a) Section 2. Annual meetings of stockholders shall be held at such place, if any, date and hour as shall be fixed by the Board and stated in the notice of the meeting, at which the stockholders shall elect the Board and transact such other business as may properly be brought before the meeting. At an annual meeting of stockholders, only such business shall be conducted as is a proper matter for stockholder action under the DGCL and as shall have been properly brought before the meeting. Matters may be properly brought before an annual meeting only as follows: (i) specified in the notice of meeting (or any supplement thereto) given by or at the direction of the Board, (ii) otherwise properly brought before the meeting by or at the direction of the Board, or (iii) by any stockholder of the corporation who was a stockholder of record who is entitled to vote at the meeting and who complied with the notice procedures set forth in this Article II, Section 2; provided, that if such matter is proposed on behalf of a beneficial owner it may only be properly brought before the meeting, if such beneficial owner was the beneficial owner of shares of the 1. corporation at the time of the giving of the stockholder’s notice provided for in Article II, Section 2(b) below. Clause (iii) above shall be the exclusive means for a stockholder to make nominations and submit other business (other than matters properly included in the corporation’s notice of meeting of stockholders and proxy statement under Rule 14a-8 under the Securities Exchange Act of 1934, as amended, and the rules and regulations thereunder (the “Exchange Act”)) before an annual meeting of the stockholders. (b) At an annual meeting of the stockholders, the following procedures shall apply in order for a matter to be properly brought before the meeting by a stockholder. (i) For nominations for election to the Board to be properly brought before an annual meeting by a stockholder pursuant to clause (iii) of Article II, Section 2(a), the stockholder must deliver written notice to the Secretary at the principal executive offices of the corporation on a timely basis as set forth in Article II, Section 2(b)(iii), and must update and supplement such written notice on a timely basis as set forth in Article II, Section 2(c). Such stockholder’s notice shall set forth: (A) as to each nominee such stockholder proposes to nominate at the meeting: (1) the name, age, business address and residence address of such nominee, (2) the principal occupation or employment of such nominee, (3) the class and number of shares of each class of capital stock of the corporation which are owned of record and beneficially by such nominee, (4) the date or dates on which such shares were acquired and the investment intent of such acquisition, (5) a statement of such nominee that, prior to such nomination, he or she will tender an irrevocable resignation to be effective only upon (i) the failure to receive the required vote at a meeting at which they stand for re-election and (ii) the Board’s acceptance of such resignation in the Board’s exclusive discretion, (6) with respect to each nominee for election or re-election to the Board, include a completed and signed questionnaire, representation and agreement required by Article II, Section 2(e), and (7) such other information concerning such nominee as would be required to be disclosed in a proxy statement soliciting proxies for the election of such nominee as a director in an election contest (even if an election contest is not involved), or that is otherwise required to be disclosed pursuant to Section 14 of the Exchange Act and the rules and regulations promulgated thereunder (including such person’s written consent to being named as a nominee and to serving as a director if elected); and (B) the information required by Article II, Section 2(b)(iv). The corporation may require any proposed nominee to furnish such other information as it may reasonably require to determine the eligibility of such proposed nominee to serve as an independent director of the corporation or that could be material to a reasonable stockholder’s understanding of the independence, or lack thereof, of such proposed nominee. For business other than nominations for election to the Board to be properly brought before an annual meeting by a stockholder pursuant to clause (iii) of Article II, Section 2(a), the stockholder must deliver written notice to the Secretary at the principal executive (ii) 2. offices of the corporation on a timely basis as set forth in Article II, Section 2(b)(iii), and must update and supplement such written notice on a timely basis as set forth in Article II, Section 2(c). Such stockholder’s notice shall set forth: (A) as to each matter such stockholder proposes to bring before the meeting, (1) a brief description of the business desired to be brought before the meeting and the reasons for conducting such business at such meeting, (2) the text of the proposal to be presented at the meeting, (3) a statement in support of the proposal, (4) a representation that such stockholder intends to appear in person, by remote communication, if applicable, or by proxy at the meeting to bring such business before the meeting, (5) the name and address, as they appear on the corporation’s books, of the stockholder proposing such business, (6) the class, series and number of shares of the corporation which are owned of record and beneficially owned by the stockholder, and (7) any material interest (including any anticipated benefit of such business to any Proponent (as defined below) other than solely as a result of its ownership of the corporation’s capital stock, that is material to any Proponent individually, or to the Proponents in the aggregate) in such business of any Proponent; and (B) the information required by Article II, Section 2(b)(iv). (iii) To be timely, the written notice required by Article II, Section 2(b) (i) or 2(b)(ii) must be received by the Secretary at the principal executive offices of the corporation not later than the close of business on the one hundred twentieth (120th) day nor earlier than the close of business on the one hundred fiftieth (150th) day prior to the first anniversary of the date on which the corporation released its proxy materials to its stockholders for the prior year’s annual meeting of stockholders or any longer period provided for by applicable law; provided, however, that in the event that the date of the annual meeting is advanced more than thirty (30) days prior to or delayed by more than thirty (30) days after the anniversary of the preceding year’s annual meeting, for notice by the stockholder to be timely, such stockholder’s written notice must be delivered to the Secretary not later than the close of business on the ninetieth (90th) day prior to such annual meeting or the tenth (10th) day following the day on which public announcement of the date of such meeting is first made, whichever is later. Notwithstanding the foregoing, in no event shall the public announcement of an adjournment or postponement of an annual meeting commence a new time period for the giving of a stockholder’s notice as described above. (iv) The written notice required by Article II, Section 2(b)(i) or 2(b)(ii) shall also set forth, as of the date of the notice and as to the stockholder giving the notice and the beneficial owner, if any, on whose behalf the nomination or proposal is made (each, a “Proponent” and collectively, the “Proponents”): (A) the name and address of each Proponent, as they appear on the corporation’s books; (B) the class, series and number of shares of the corporation that are owned beneficially and of record by each Proponent; (C) a description of any agreement, arrangement or understanding (whether oral or in writing) with respect to such nomination or proposal between or among any Proponent and any of its affiliates or associates, and any others (including their names) acting in concert, or otherwise under the agreement, arrangement or 3. understanding, with any of the foregoing; (D) a representation that the Proponents are holders of record or beneficial owners, as the case may be, of shares of the corporation entitled to vote at the meeting and intend to appear in person or by proxy at the meeting to nominate the person or persons specified in the notice (with respect to a notice under Article II, Section 2(b)(i)) or to propose the business that is specified in the notice (with respect to a notice under Article II, Section 2(b)(ii)); (E) a representation as to whether the Proponents intend to deliver a proxy statement and form of proxy to holders of a sufficient number of holders of the corporation’s voting shares to elect such nominee or nominees (with respect to a notice under Article II, Section 2(b)(i)) or to carry such proposal (with respect to a notice under Article II, Section 2(b)(ii)); (F) to the extent known by any Proponent, the name and address of any other stockholder supporting the proposal on the date of such stockholder’s notice; and (G) a description of all Derivative Transactions (as defined below) by each Proponent during the previous twelve (12) month period, including the date of the transactions and the class, series and number of securities involved in, and the material economic terms of, such Derivative Transactions. For purposes of Article II, Section 2, a “Derivative Transaction” means any agreement, arrangement, interest or understanding entered into by, or on behalf or for the benefit of, any Proponent or any of its affiliates or associates, whether record or beneficial: the value of which is derived in whole or in part from the value of any class or series (w) of shares or other securities of the corporation, (x) which otherwise provides any direct or indirect opportunity to gain or share in any gain derived from a change in the value of securities of the corporation, the effect or intent of which is to mitigate loss, manage risk or benefit of security (y) value or price changes, or (z) which provides the right to vote or increase or decrease the voting power of, such Proponent, or any of its affiliates or associates, with respect to any securities of the corporation, which agreement, arrangement, interest or understanding may include, without limitation, any option, warrant, debt position, note, bond, convertible security, swap, stock appreciation right, short position, profit interest, hedge, right to dividends, voting agreement, performance-related fee or arrangement to borrow or lend shares (whether or not subject to payment, settlement, exercise or conversion in any such class or series), and any proportionate interest of such Proponent in the securities of the corporation held by any general or limited partnership, or any limited liability company, of which such Proponent is, directly or indirectly, a general partner or managing member. 4. (c) A stockholder providing written notice required by Article II, Section 2(b) (i) or (ii) shall update and supplement such notice in writing, if necessary, so that the information provided or required to be provided in such notice is true and correct in all material respects as of (i) the record date for the meeting and (ii) as of the date that is five (5) business days prior to the meeting and, in the event of any adjournment or postponement thereof, five (5) business days prior to the revised meeting date that is publicly announced by the corporation. In the case of an update and supplement pursuant to clause (i) of this Article II, Section 2(c), such update and supplement shall be received by the Secretary at the principal executive offices of the corporation not later than five (5) business days after the record date for the meeting. In the case of an update and supplement pursuant to clause (ii) of this Article II, Section 2(c), such update and supplement shall be delivered to, or mailed and received by, the Secretary at the principal executive offices of the corporation not later than two (2) business days prior to the date for the meeting, and, in the event of any adjournment or postponement thereof, two (2) business days prior to the revised meeting date that is publicly announced by the corporation. (d) Notwithstanding anything in Article II, Section 2(b)(iii) to the contrary, in the event that the number of directors is increased and there is no public announcement of the appointment of a director to fill any vacancies created by such increase or if no appointment was made, of any vacancies created by such increase, by the corporation at least ten (10) days before the last day a stockholder may deliver a notice of nomination in accordance with Article II, Section 2(b)(iii), a stockholder’s notice required by this Article II, Section 2 and which complies with the requirements in Article II, Section 2(b)(i), other than the timing requirements in Article II, Section 2(b)(iii), shall also be considered timely, but only with respect to nominees for any new positions, created by such increase, if it shall be received by the Secretary at the principal executive offices of the corporation not later than the close of business on the tenth (10th) day following the day on which such public announcement is first made by the corporation. (e) To be eligible to be a nominee for election or re-election as a director of the corporation pursuant to a nomination under clause (iii) of Article II, Section 2(a), such nominee or a person on his or her behalf must deliver (in accordance with the time periods prescribed for delivery of notice under Article II, Section 2(b)(iii) or Section 2(d), as applicable) to the Secretary at the principal executive offices of the corporation a written questionnaire with respect to the background and qualification of such nominee and the background of any other person or entity on whose behalf the nomination is being made (which questionnaire shall be provided by the Secretary upon written request) and a written representation and agreement (in the form provided by the Secretary upon written request) that such person (i) is not and will not become a party to (A) any agreement, arrangement or understanding with, and has not given any commitment or assurance to, any person or entity as to how such person, if elected as a director of the corporation, will act or vote on any issue or question (a “Voting Commitment”) that has not been disclosed to the corporation in the 5. questionnaire or (B) any Voting Commitment that could limit or interfere with such person’s ability to comply, if elected as a director of the corporation, with such person’s fiduciary duties under applicable law; (ii) is not and will not become a party to any agreement, arrangement or understanding with any person or entity other than the corporation with respect to any direct or indirect compensation, reimbursement or indemnification in connection with service or action as a director of the corporation that has not been disclosed therein; and (iii) in such person’s individual capacity and on behalf of any person or entity on whose behalf the nomination is being made, would be in compliance, if elected as a director of the corporation, and will comply with, all applicable publicly disclosed corporate governance, conflict of interest, confidentiality and stock ownership and trading policies and guidelines of the corporation. (f) A person shall not be eligible for election or re-election as a director unless the person is nominated either in accordance with clause (i), (ii) or (iii) of Article II, Section 2(a). Except as otherwise required by law, the chairman of the meeting shall have the power and duty to determine whether a nomination or any business proposed to be brought before the meeting was made, or proposed, as the case may be, in accordance with the procedures set forth in these Bylaws and, if any proposed nomination or business is not in compliance with these Bylaws, to declare that such defective proposal or nomination shall not be presented for stockholder action at the meeting and shall be disregarded. Notwithstanding anything in these Bylaws to the contrary, unless otherwise required by law, if a stockholder intending to make a nomination at a meeting pursuant to Article II, Section 2(b)(i) or to propose business at a meeting pursuant to Article II, Section 2(b)(ii) does not provide the information in the stockholder’s notice required under Article II, Section 2(b)(i) or 2(b)(ii), as applicable, within the applicable time periods specified in this Article II, Section 2 (including any update and supplement required under Article II, Section 2(c)), or the stockholder (or a qualified representative of the stockholder) does not appear at the meeting to make such nomination or to propose such business, or the Proponents shall not have acted in accordance with the representations required under Article II, Section 2(b)(iv)(E), such nomination or proposal shall not be presented for stockholder action at the meeting and shall be disregarded, as determined by the chairman of the meeting as described above, notwithstanding that proxies in respect of such nominations or such business may have been solicited or received. (g) In order to include information with respect to a stockholder proposal in the proxy statement and form of proxy for a stockholders’ meeting, a stockholder must also comply with all applicable requirements of the Exchange Act and the rules and regulations thereunder. Nothing in these Bylaws shall be deemed to affect any rights of stockholders to request inclusion of proposals in the corporation’s proxy statement pursuant to Rule 14a-8 under the Exchange Act; provided, however, that any references in these Bylaws to the Exchange Act or the rules and regulations thereunder are not intended to and shall not limit the requirements applicable to proposals and/or nominations to be considered pursuant to clause (iii) of Article II, Section 2(a). 6. (h) For purposes of Article II, Section 2, (i) “public announcement” shall mean disclosure in a press release reported by the Dow Jones News Service, Associated Press, Business Wire or comparable national news service or in a document publicly filed by the corporation with the Securities and Exchange Commission pursuant to Section 13, 14 or 15(d) of the Exchange Act; and (ii) 405 under the Securities Act of 1933, as amended. “affiliates” and “associates” shall have the meanings set forth in Rule Section 3. Written notice, or notice by electronic transmission as permitted by the DGCL, of the annual meeting stating the place, if any, date and hour of the meeting, and the means of remote communications, if any, by which stockholders and proxy holders may be deemed to be present in person and vote at such meeting, shall be given to each stockholder entitled to vote at such meeting not less than ten (10) nor more than sixty (60) days before the date of the meeting. Section 4. The officer who has charge of the stock ledger of the corporation shall prepare and make, or cause a third party to prepare and make, at least ten (10) days before every meeting of stockholders, a complete list of the stockholders entitled to vote at the meeting, arranged in alphabetical order, and showing the address of each stockholder and the number of shares registered in the name of each stockholder. Such list shall be open to the examination of any stockholder, for any purpose germane to the meeting, during ordinary business hours, for a period of at least ten (10) days prior to the meeting, either at a place within the city where the meeting is to be held, which place shall be specified in the notice of the meeting, or, if not so specified, at the place where the meeting is to be held. The list shall also be produced and kept at the time and place of the meeting during the whole time thereof, and may be inspected by any stockholder who is present. Section 5. Special meetings of the stockholders, for any purpose or purposes as is a proper matter for stockholder action under the DGCL, unless otherwise prescribed by statute or by the Certificate of Incorporation, may be called by the (i) Chairman, (ii) President, (iii) Chief Executive Officer, (iv) the Secretary at the written request (a “Stockholder Special Meeting Request”) of holders of not less than 20% of all outstanding shares of capital stock of the corporation (the “Requisite Percentage”), which shares are held for not less than one (1) year prior to the date such request is delivered to the corporation (a “Stockholder Requested Special Meeting”), or (v) the Chairman or President or Secretary at the request in writing of a majority of the Board. Any request to call a special meeting of the stockholders shall state the purpose or purposes of the proposed meeting. Whether a Stockholder Special Meeting Request is valid shall be determined in good faith by the Board, which determination shall be conclusive and binding on the corporation and its stockholders. 7. (a) The only matters that may be brought before a special meeting are the matters specified in the notice of meeting given by or at the direction of the person calling the meeting. The Board shall determine the time and place of such special meeting; provided, however, that the date of any such Stockholder Requested Special Meeting shall be not more than 90 days after the date on which the relevant Stockholder Special Meeting Request has been delivered to the Secretary in accordance with Article II, Section 5(e) (the “Delivery Date”). Notwithstanding the foregoing, a Stockholder Requested Special Meeting need not be held for an item of business if the Board determines that the solicitation of votes for the items of business to be brought before the Stockholder Requested Special Meeting was made in a manner that involved a violation of Regulation 14A under the Exchange Act or any other applicable law. Upon determination of the time and place of the meeting, the officer receiving the request shall cause notice to be given to the stockholders entitled to vote, in accordance with the provisions of Article II, Section 6. Nothing contained in this paragraph (b) shall be construed as limiting, fixing, or affecting the time when a meeting of stockholders called by action of the Board may be held. (b) Nominations of persons for election to the Board may be made at a special meeting of stockholders at which directors are to be elected pursuant to the corporation’s notice of meeting (i) by or at the direction of the Board or (ii) by any stockholder of the corporation who is a stockholder of record at the time of giving notice provided for in these Bylaws who shall be entitled to vote at the meeting and who delivers written notice to the Secretary setting forth the information required by Article II, Section 2(b)(i); provided, that if such nominee(s) are proposed on behalf of a beneficial owner such nominations may only be properly brought before the meeting, if such beneficial owner was the beneficial owner of shares of the corporation at the time of the giving of the stockholder’s notice set forth in this paragraph. In the event the corporation calls a special meeting of stockholders for the purpose of electing one or more directors to the Board, any such stockholder of record may nominate a person or persons (as the case may be), for election to such position(s) as specified in the corporation’s notice of meeting, if the stockholder’s notice required by Article II, Section 2(b)(i) (including the information required by Article II, Section 2(b)(iv)) shall be delivered to the Secretary at the principal executive offices of the corporation not earlier than the close of business on the one hundred twentieth (120th) day prior to such special meeting and not later than the close of business on the later of the ninetieth (90th) day prior to such meeting or the tenth (10th) day following the day on which public announcement is first made of the date of the special meeting and of the nominees proposed by the Board to be elected at such meeting. The stockholder shall also update and supplement such information as required under Article II, Section 2(c). In no event shall the public announcement of an adjournment or postponement of a special meeting commence a new time period for the giving of a stockholder’s notice as described above. A stockholder must also comply with all applicable requirements of the Exchange Act and the rules and regulations thereunder with respect to matters set forth in this Article (c) 8. II, Section 5. Nothing in these Bylaws shall be deemed to affect any rights of stockholders to request inclusion of proposals in the corporation’s proxy statement pursuant to Rule 14a-8 under the Exchange Act; provided, however, that any references in these Bylaws to the Exchange Act or the rules and regulations thereunder are not intended to and shall not limit the requirements applicable to nominations for the election to the Board to be considered pursuant to Article II, Section 5(c). (d) A beneficial owner who wishes to deliver a Stockholder Special Meeting Request must cause the nominee or other person who serves as the record stockholder of such beneficial owner’s stock to sign the Stockholder Special Meeting Request. If a record stockholder is the nominee for more than one beneficial owner of stock, the record stockholder may deliver a Stockholder Special Meeting Request solely with respect to the capital stock of the corporation beneficially owned by the beneficial owner who is directing the record stockholder to sign such Stockholder Special Meeting Request. (e) Each Stockholder Special Meeting Request shall include the following and shall be delivered to the Secretary: (i) the signature of the record stockholder submitting such request and the date such request was signed, (ii) the text of each business proposal desired to be submitted for stockholder approval at the special meeting, and (iii) as to the beneficial owner, if any, directing such record stockholder to sign the written request to call a special meeting and as to such record stockholder (unless such record stockholder is acting solely as a nominee for a beneficial owner) (each such beneficial owner and each record stockholder who is not acting solely as a nominee, a “Disclosing Party”): (1) all of the information required to be disclosed pursuant to Article II, Section 2(a)(ii) (which information shall be supplemented by delivery to the Secretary) by each Disclosing Party, (i) not later than ten (10) days after the record date for determining the record stockholders entitled to notice of the special meeting (such record date, the “Meeting Record Date”), to disclose the foregoing information as of the Meeting Record Date and (ii) not later than the 5th day before the special meeting, to disclose the foregoing information as of the date that is ten (10) days prior to the special meeting or any adjournment or postponement thereof; (2) with respect to each business proposal to be submitted for stockholder approval at the special meeting, a statement whether or not any Disclosing Party will deliver a proxy statement and form of proxy to holders of at least the percentage of voting power of all of the outstanding shares of capital stock of the corporation entitled to vote generally in the election of directors required under applicable law to carry such proposal; and verify the corporation capital stock ownership position of such Disclosing Party. (3) any additional information reasonably requested by the Board to 9. (f) Any requesting stockholder may revoke his, her or its Stockholder Special Meeting Request for a Stockholder Requested Special Meeting at any time prior to the Stockholder Requested Special Meeting by written revocation delivered to the Secretary at the principal executive offices of the corporation. There shall be no requirement to hold a special meeting (and the Board may cancel the special meeting) if the unrevoked (taking into account any specific written revocation or any reduction in shares held of record or beneficial ownership, as described above) Stockholder Special Meeting Request represents in the aggregate less than the Requisite Percentage at any time after (i) a Stockholder Special Meeting Request has been delivered to the Secretary or (ii) 60 days following the earliest dated Stockholder Special Meeting Request. (g) In determining whether Stockholder Special Meeting Requests have met the requirements of these Bylaws, multiple Stockholder Special Meeting Requests will be considered together only if (i) each Stockholder Special Meeting Request identifies the same or substantially the same purpose or purposes of the requested special meeting and the same or substantially the same items of business proposed to be brought before the Stockholder Requested Special Meeting (in each case as determined in good faith by the Board), and (ii) such Stockholder Special Meeting Requests have been delivered to the Secretary within 60 days of the delivery to the Secretary of the earliest dated Stockholder Special Meeting Request relating to such item(s) of business. (h) If none of the stockholders who submitted a Stockholder Special Meeting Request appear or send a qualified representative to present the item of business submitted by the stockholders for consideration at the Stockholder Requested Special Meeting, such item of business shall not be submitted for vote of the stockholders at such Stockholder Requested Special Meeting, notwithstanding that proxies in respect of such vote may have been received by the corporation or such stockholder(s). A Stockholder Special Meeting Request shall not be valid (and the Board shall have no obligation to call a special meeting in respect of such Stockholder Special Meeting Request) if it relates to an item of business that is not a proper subject for stockholder action under applicable law, was made in a manner that involved a violation of an applicable law or regulation, would violate the law, would cause the corporation to violate the law or does not comply with the provisions of these Bylaws. Section 6. Written notice, or notice by electronic transmission as permitted by the DGCL, of a special meeting stating the place, if any, date and hour of the meeting, the means of remote communications, if any, by which stockholders and proxy holders may be deemed to be present in person and vote at such meeting, and the purpose or purposes for which the meeting is called, shall be given not less than ten (10) nor more than sixty (60) days before the date of the meeting, to each stockholder entitled to vote at such meeting, such notice to specify the place, if any, date and hour, in the case of special meetings, the purpose or purposes of the meeting, and the means of remote communications, if any, by which stockholders and proxy holders may be deemed to be present in person and vote at any such meeting. If mailed, notice is given when deposited in 10. the United States mail, postage prepaid, directed to the stockholder at such stockholder’s address as it appears on the records of the corporation. Notice of the time, place, if any, and purpose of any meeting of stockholders may be waived in writing, signed by the person entitled to notice thereof, or by electronic transmission by such person, either before or after such meeting, and will be waived by any stockholder by his attendance thereat in person, by remote communication, if applicable, or by proxy, except when the stockholder attends a meeting for the express purpose of objecting, at the beginning of the meeting, to the transaction of any business because the meeting is not lawfully called or convened. Any stockholder so waiving notice of such meeting shall be bound by the proceedings of any such meeting in all respects as if due notice thereof had been given. Section 7. The holders of a majority of the stock issued and outstanding and entitled to vote thereat, present in person or represented by proxy, shall constitute a quorum at all meetings of the stockholders for the transaction of business, except as otherwise provided by statute or by the Certificate of Incorporation. If, however, such quorum shall not be present or represented at any meeting of the stockholders, the stockholders entitled to vote thereat, present in person or represented by proxy, shall have power to adjourn the meeting from time to time by a vote of the holders of a majority of the shares present in person, without notice other than announcement at the meeting, until a quorum shall be present or represented, but no other business shall be transacted at such meeting. At such adjourned meeting at which a quorum shall be present or represented, any business may be transacted which might have been transacted at the meeting as originally notified. If the adjournment is for more than thirty (30) days, or if after the adjournment a new record date is fixed for the adjourned meeting, a notice of the adjourned meeting shall be given to each stockholder of record entitled to vote at the meeting. In no event shall the public announcement of an adjournment of an annual meeting commence a new time period for the giving of a stockholder’s notice as described above. Section 8. (a) When a quorum is present at any meeting, the vote of the holders of a majority of the stock having voting power present in person or represented by proxy and voting on that particular matter shall decide any question brought before such meeting other than the election of directors, unless the question is one upon which by express provision of the statutes or of the Certificate of Incorporation, a different vote is required, in which case such express provision shall govern and control the decision of such question. (b) Each director to be elected by stockholders shall be elected as such by the majority of the votes cast by stockholders upon his or her election at a meeting for the election of directors at which a quorum is present, except that, if the number of nominees for election at any such meeting exceeds the number of directors to be elected at such meeting, each director to be so elected shall be elected as such by a plurality of the votes cast by stockholders at such meeting. For 11. purposes of this Article II, Section 8(b), a majority of votes cast shall mean that the number of shares voted “for” a director’s election exceeds 50% of the number of votes cast on the issue of that director’s election (including votes “for” and votes “against” with respect to that director’s election, but excluding any abstentions or broker non-votes). If directors are to be elected by a plurality of the votes cast, stockholders shall not be permitted to vote against a nominee, and shall only include votes “for” and votes to withhold authority. Section 9. Unless otherwise provided in the Certificate of Incorporation, each stockholder shall, at every meeting of the stockholders, be entitled to one (1) vote in person or by proxy for each share of the capital stock having voting power held by such stockholder, but no proxy shall be voted on after three (3) years from its date, unless the proxy provides for a longer period. Section 10. At every meeting of stockholders, the Chairman, or, if a Chairman has not been appointed or is absent, the Chief Executive Officer, or, if the Chief Executive Officer has not been appointed or is absent, the President, or, if the President is absent, a director or officer designated by the Chairman, shall act as chairman. The Secretary, or, in his or her absence, an Assistant Secretary or other person directed to do so by the President, shall act as secretary of the meeting. (a) The Board shall be entitled to make such rules or regulations for the conduct of meetings of stockholders as it shall deem necessary, appropriate or convenient. Subject to such rules and regulations of the Board, if any, the chairman of the meeting shall have the right and authority to prescribe such rules, regulations and procedures and to do all such acts as, in the judgment of such chairman, are necessary, appropriate or convenient for the proper conduct of the meeting, including, without limitation, establishing an agenda or order of business for the meeting, making a determination concerning whether business is properly brought before the meeting, rules and procedures for maintaining order at the meeting and the safety of those present, limitations on participation in such meeting to stockholders of record of the corporation and their duly authorized and constituted proxies and such other persons as the chairman shall permit, restrictions on entry to the meeting after the time fixed for the commencement thereof, limitations on the time allotted to questions or comments by participants and regulation of the opening and closing of the polls for balloting on matters which are to be voted on by ballot. The date and time for the opening and closing of the polls for each matter upon which the stockholders will vote at the meeting shall be announced at the meeting. Unless and to the extent determined by the Board or the chairman of the meeting, meetings of stockholders shall not be required to be held in accordance with rules of parliamentary procedure. ARTICLE III DIRECTORS 12. Section 1. The number of directors which shall constitute the whole Board shall be determined by resolution of the Board. The directors shall be elected at the annual meeting of the stockholders for a term of one year, except as provided in Section 2 of this Article III, and each director elected shall hold office until his or her successor is elected and qualified or until his or her death, resignation or removal. Directors need not be stockholders. Section 2. Vacancies and newly-created directorships may be filled only by vote of at least two-thirds (2/3rds) of the directors then in office, though less than a quorum, or by a sole remaining director, except that in the event a director is removed by the stockholders for cause, the stockholders shall be entitled to fill the vacancy created as a result of such removal. The directors so chosen shall serve for the remainder of the term of the vacated directorships being filled and until their successors are duly elected and shall qualify, unless sooner displaced. If there are no directors in office, then an election of directors may be held in the manner provided by statute. Section 3. The business of the corporation shall be managed by, or under the direction of, the Board, which may exercise all such powers of the corporation and do all such lawful acts and things as are not by statute or by the Certificate of Incorporation or by these Bylaws directed or required to be exercised or done by the stockholders. MEETINGS OF THE BOARD Section 4. The Board may hold meetings, both regular and special, either within or without the State of Delaware. Section 5. Intentionally omitted. Section 6. Regular meetings of the Board may be held without notice (as defined in Article IV, Section 1) at such time and at such place as shall from time to time be determined by the Board, provided that such time and such place has been designated previously by the Board and publicized among all directors, either orally or in writing, by telephone, including a voice-messaging system or other system designed to record and communicate messages, facsimile, electronic mail or other electronic means. Section 7. Special meetings of the Board may be called by the Chairman or President on four (4) days’ notice to each director by mail or twenty-four (24) hours’ notice to each director either personally or by telephone, telegram, electronic transmission or facsimile; special meetings shall be called by the Chairman or President or Secretary in like manner and on like notice on the request in writing or by electronic transmission of two (2) directors unless the Board consists of only one (1) director, in which case special meetings shall be called by the Chairman or President or Secretary in like manner and on like notice on the request in writing or by electronic transmission of the sole director. A written waiver of notice, signed by the person entitled thereto, or waiver of 13. notice by electronic transmission sent by such person, whether before or after the time of the meeting stated therein, shall be deemed equivalent to notice. Section 8. At all meetings of the Board, a majority of the directors then in office shall constitute a quorum for the transaction of business, and the act of a majority of the directors present at any meeting at which there is a quorum shall be the act of the Board, except as may be otherwise specifically provided by statute or by the Certificate of Incorporation. If a quorum shall not be present at any meeting of the Board, the directors present thereat may adjourn the meeting from time to time, without notice other than announcement at the meeting, until a quorum shall be present. Section 9. Unless otherwise restricted by the Certificate of Incorporation or these Bylaws, any action required or permitted to be taken at any meeting of the Board or of any committee thereof may be taken without a meeting, if all members of the Board or committee, as the case may be, consent thereto in writing or by electronic transmission, and the writing or writings or transmission or transmissions are filed with the minutes of proceedings of the Board or committee. Such filing shall be in paper form if the minutes are maintained in paper form and shall be in electronic form or paper form if the minutes are maintained in electronic form. Section 10. Unless otherwise restricted by the Certificate of Incorporation or these Bylaws, members of the Board, or any committee designated by the Board, may participate in a meeting of the Board, or any committee, by means of conference telephone or similar communications equipment by means of which all persons participating in the meeting can hear each other, and such participation in a meeting shall constitute presence in person at the meeting. LEAD INDEPENDENT DIRECTOR Section 11. The Chairman, or if the Chairman is not an independent director, one of the independent directors, may be designated by the Board as lead independent director (“Lead Independent Director”) to serve until replaced by the Board. The Lead Independent director will, with the Chairman, establish the agenda for regular Board meetings and serve as chairman of Board meetings in the absence of the Chairman; establish the agenda for meetings of the independent directors; and coordinate the activities of the other independent directors and perform such other duties as may be established or delegated by the Board. COMMITTEES OF DIRECTORS Section 12. The Board may, by resolution passed by a majority of the whole Board, designate one or more committees, each committee to consist of one or more of the directors of the corporation. The Board may designate one or more directors as alternate members of any committee, who may replace any absent or disqualified member at any meeting of the committee. 14. In the absence of disqualification of a member of a committee, the member or members thereof present at any meeting and not disqualified from voting, whether or not he, she or they constitute a quorum, may unanimously appoint another member of the Board to act at the meeting in the place of any such absent or disqualified member. Any such committee, to the extent provided in the resolution of the Board, shall have and may exercise all the powers and authority of the Board in the management of the business and affairs of the corporation, and may authorize the seal of the corporation to be affixed to all papers which may require it; but no such committee shall have the power or authority in reference to amending the Certificate of Incorporation, adopting an agreement of merger or consolidation, recommending to the stockholders the sale, lease or exchange of all or substantially all of the corporation’s property and assets, recommending to the stockholders a dissolution of the corporation or a revocation of a dissolution, or amending the Bylaws; and, unless the resolution or the Certificate of Incorporation expressly so provide, no such committee shall have the power or authority to declare a dividend or to authorize the issuance of stock. Such committee or committees shall have such name or names as may be determined from time to time by resolution adopted by the Board. The provisions relating to the holding of Board meetings, including the provisions regarding the time, place, notice, waiver, quorum, action by written consent in lieu of meetings, and telephonic attendance, shall apply equally to committee meetings. Section 13. Each committee shall keep regular minutes of its meetings and report the same to the Board when required. COMPENSATION OF DIRECTORS Section 14. Unless otherwise restricted by the Certificate of Incorporation or these Bylaws, the Board shall have the authority to fix the compensation of directors. Director compensation may include, among other things, payment of his or her expenses, if any, of attendance at each meeting of the Board, payment of a fixed sum for attendance at each meeting of the Board or payment of a stated salary as director. No such payment shall preclude any director from serving the corporation in any other capacity and receiving compensation therefor. Members of special or standing committees may be allowed like compensation for attending committee meetings. RESIGNATION OF DIRECTORS Section 15. Any director may resign at any time by delivering his or her notice in writing or by electronic transmission to the Secretary, such resignation to specify whether it will be effective at a particular time, upon receipt by the Secretary or at the pleasure of the Board. If no such specification is made, it shall be deemed effective at the pleasure of the Board. REMOVAL OF DIRECTORS 15. Section 16. Unless otherwise restricted by the Certificate of Incorporation or by law, any director or the entire Board may be removed, with or without cause, by the holders of a majority of shares entitled to vote at an election of directors. ARTICLE IV NOTICES Section 1. Whenever, under the provisions of the statutes or of the Certificate of Incorporation or of these Bylaws, notice is required to be given to any director or stockholder, it shall not be construed to mean personal notice (except as provided in Article III, Section 7), but such notice may be given in writing, by mail, addressed to such director or stockholder, at his or her address as it appears on the records of the corporation, with postage thereon prepaid, or by electronic transmission as permitted by the DGCL, and such notice shall be deemed to be given at the time when the same shall be deposited in the United States mail or transmitted electronically. Notice to directors may also be given by telephone, telegram or facsimile. Section 2. Whenever any notice is required to be given under the provisions of the statutes or of the Certificate of Incorporation or of these Bylaws, a waiver thereof in writing, signed by the person or persons entitled to said notice, or waiver of notice by electronic transmission sent by such person or persons, whether before or after the time stated therein, shall be deemed equivalent thereto. Section 3. Except as otherwise prohibited under the DGCL, any notice given under the provisions of the DGCL, the Certificate of Incorporation or the Bylaws shall be effective if given by a single written notice to stockholders who share an address if consented to by the stockholders at that address to whom such notice is given. Such consent shall have been deemed to have been given if such stockholder fails to object in writing to the corporation within sixty (60) days of having been given notice by the corporation of its intention to send the single notice. Any consent shall be revocable by the stockholder by written notice to the corporation. Section 4. Whenever notice is required to be given, under any provision of law or of the Certificate of Incorporation or Bylaws of the corporation, to any person with whom communication is unlawful, the giving of such notice to such person shall not be required and there shall be no duty to apply to any governmental authority or agency for a license or permit to give such notice to such person. Any action or meeting which shall be taken or held without notice to any such person with whom communication is unlawful shall have the same force and effect as if such notice had been duly given. In the event that the action taken by the corporation is such as to require the filing of a certificate under any provision of the DGCL, the certificate shall state, if such 16. is the fact and if notice is required, that notice was given to all persons entitled to receive notice except such persons with whom communication is unlawful. ARTICLE V OFFICERS Section 1. The officers of the corporation shall be chosen by the Board and shall be a Chief Executive Officer (or one or more Co-Chief Executive Officers), a President, a Chief Financial Officer, a Secretary and a Treasurer. The Board may elect from among its members a Chairman, a Vice Chairman and a Lead Independent Director (provided that notwithstanding anything to the contrary contained in these Bylaws, none of the Chairman, the Vice Chairman or the Lead Independent Director shall be deemed an officer of the corporation unless so designated by the Board). The Board may also choose one or more Vice Presidents, Assistant Secretaries and Assistant Treasurers. Any number of offices may be held by the same person, unless the Certificate of Incorporation or these Bylaws otherwise provide. Section 2. All officers shall hold office at the pleasure of the Board and until their successors shall have been duly elected and qualified, or until such officer’s earlier resignation or removal. An officer may resign at any time upon written notice to the corporation. Section 3. The Board may appoint such other officers and agents as it shall deem necessary who shall hold their offices for such terms and shall exercise such powers and perform such duties as shall be determined from time to time by the Board. Section 4. The salaries of all officers of the corporation shall be fixed by the Board. The salaries of agents of the corporation shall, unless fixed by the Board, be fixed by the President or any Vice President of the corporation. Section 5. The officers of the corporation shall hold office until their successors are chosen and qualify. Any officer elected or appointed by the Board may be removed at any time, with or without cause, by the affirmative vote of a majority of the Board or by the unanimous written consent of the Board. Any vacancy occurring in any office of the corporation shall be filled by the Board. CHAIRMAN OF THE BOARD Section 6. The Chairman shall preside at all meetings of the Board and of the stockholders at which he or she shall be present. He or she shall perform other duties commonly incident to the office and shall also perform such other duties and have such other powers as the Board shall designate from time to time. 17. Section 7. In the absence of the Chairman or the Vice Chairman, if any, the Lead Independent Director shall preside at all meetings of the Board and of the stockholders at which he or she shall be present. He or she shall have and may exercise such powers as are, from time to time, assigned to him or her by the Board and as may be provided by law. CHIEF EXECUTIVE OFFICER Section 8. The Board may appoint a Chief Executive Officer or one or more Co-Chief Executive Officers. For all purposes of the Bylaws, the term “Chief Executive Officer” shall mean “Chief Executive Officer or Co-Chief Executive Officer(s)”, as the case may be. The Chief Executive Officer shall perform duties commonly incident to the office and shall also perform such other duties and have such other powers, as the Board shall designate from time to time. If the Chairman has not been appointed or is not present and if the Lead Independent Director has not been appointed or is not present, the Chief Executive Officer shall preside at all meetings of the Board. The Chief Executive Officer shall preside at any meeting of the stockholders under the circumstances described in Article II, Section 10(a). PRESIDENT AND VICE PRESIDENTS Section 9. The President shall be the Chief Executive Officer of the corporation in the event that there is not another person designated as the Chief Executive Officer by the Board, and shall, subject to the control of the Board, have general supervision, direction and control of the business and affairs of the corporation. The President shall perform other duties commonly incident to the office and shall also perform such other duties and have such other powers as the Board shall designate from time to time. Section 10. The Chairman, the Chief Executive Officer, the President, the Chief Financial Officer, any Vice President or the Secretary shall execute bonds, mortgages and other contracts requiring a seal, under the seal of the corporation, except where required or permitted by law to be otherwise signed and executed and except where the signing and execution thereof shall be expressly delegated by the Board to some other officer or agent of the corporation. Section 11. In the absence of the Chairman, Chief Executive Officer or the President or in the event of their inability or refusal to act, the Vice President, if any (or in the event there be more than one Vice President, the Vice Presidents in the order designated by the Board, or in the absence of any designation, then in the order of their election), shall perform the duties of the President, and when so acting, shall have all the powers of, and be subject to all the restrictions upon, the President. CHIEF FINANCIAL OFFICER 18. Section 12. The Chief Financial Officer shall keep or cause to be kept the books of account of the corporation in a thorough and proper manner and shall render statements of the financial affairs of the corporation in such form and as often as required by the Board or the Chief Executive Officer. The Chief Financial Officer, subject to the order of the Board, shall have the custody of all funds and securities of the corporation. The Chief Financial Officer shall perform other duties commonly incident to the office and shall also perform such other duties and have such other powers as the Board or the Chief Executive Officer shall designate from time to time. The Chief Executive Officer may direct the Vice President and Controller, Treasurer or any Assistant Treasurer or Assistant Controller, to assume and perform the duties of the Chief Financial Officer in the absence or disability of the Chief Financial Officer and, in the absence or disability of the Chief Financial Officer, each such officer shall perform other duties commonly incident to the office and shall also perform such other duties and have such other powers as the Board or the Chief Executive Officer shall designate from time to time. SECRETARY AND ASSISTANT SECRETARY Section 13. The Secretary shall attend all meetings of the Board and all meetings of the stockholders and record all the proceedings of the meetings of the corporation and of the Board in a book to be kept for that purpose and shall perform like duties for the standing committees when required. He or she shall give, or cause to be given, notice of all meetings of the stockholders and special meetings of the Board, and shall perform such other duties as may be prescribed by the Board or Chairman, under whose supervision he or she shall be. He or she shall have custody of the corporate seal of the corporation and he or she, or an assistant secretary, shall have authority to affix the same to any instrument requiring it and when so affixed, it may be attested by his or her signature or by the signature of such Assistant Secretary. The Board may give general authority to any other officer to affix the seal of the corporation and to attest the affixing by his or her signature. Section 14. The Assistant Secretary, or if there be more than one, the Assistant Secretaries in the order determined by the Board (or if there be no such determination, then in the order of their election) shall, in the absence of the Secretary or in the event of his or her inability or refusal to act, perform the duties and exercise the powers of the Secretary and shall perform such other duties and have such other powers as the Board may from time to time prescribe. TREASURER AND ASSISTANT TREASURERS Section 15. The Treasurer shall be the Chief Financial Officer of the corporation in the event that there is not another person designated as the Chief Financial Officer by the Board, and shall have the custody of the corporate funds and securities and shall keep full and accurate accounts of receipts and disbursements in books belonging to the corporation and shall deposit all moneys 19. and other valuable effects in the name and to the credit of the corporation in such depositories as may be designated by the Board. Section 16. The Treasurer shall disburse the funds of the corporation as may be ordered by the Board, taking proper vouchers for such disbursements, and shall render to the President and the Board, at its regular meetings, or when the Board so requires, an account of all his or her transactions as Treasurer and of the financial condition of the corporation. Section 17. If required by the Board, the Treasurer shall give the corporation a bond (which shall be renewed every six (6) years) in such sum and with such surety or sureties as shall be satisfactory to the Board for the faithful performance of the duties of his or her office and for the restoration to the corporation, in case of his or her death, resignation, retirement or removal from office, of all books, papers, vouchers, money and other property of whatever kind in his or her possession or under his or her control belonging to the corporation. Section 18. The Assistant Treasurer, or if there shall be more than one, the Assistant Treasurers in the order determined by the Board (or if there be no such determination, then in the order of their election) shall, in the absence of the Treasurer or in the event of his or her inability or refusal to act, perform the duties and exercise the powers of the Treasurer and shall perform such other duties and have such other powers as the Board may from time to time prescribe. ARTICLE VI CERTIFICATE OF STOCK Section 1. The shares of the corporation shall be represented by certificates, or shall be uncertificated. Every holder of stock in the corporation shall be entitled to have a certificate, signed by, or in the name of the corporation by, the Chairman or Vice Chairman of the Board, or the President or a Vice President and the Treasurer or an Assistant Treasurer, or the Secretary or an Assistant Secretary, certifying the number of shares owned by him or her in the corporation. If the corporation shall be authorized to issue more than one class of stock or more than one series of any class, then in the case of shares represented by certificates, the powers, designations, preferences and relative, participating, optional or other special rights of each class of stock or series thereof and the qualification, limitations or restrictions of such preferences and/or rights shall be set forth in full or summarized on the face or back of the certificate, provided that, except as otherwise provided in Section 202 of the DGCL, in lieu of the foregoing requirements, there may be set forth on the face or back of the certificate, a statement that the corporation will furnish without charge to each stockholder who so requests the powers, designations, preferences and relative, participating, optional or other special rights of each class of stock or series thereof and the qualifications, limitations or restrictions of such preferences and/or rights. 20. Section 2. Any or all of the signatures on the certificate may be facsimile. In case any officer, transfer agent or registrar who has signed or whose facsimile signature has been placed upon a certificate shall have ceased to be such officer, transfer agent or registrar before such certificate is issued, it may be issued by the corporation with the same effect as if he or she were such officer, transfer agent or registrar at the date of issue. LOST CERTIFICATES Section 3. The Board may direct a new certificate or certificates to be issued in place of any certificate or certificates theretofore issued by the corporation alleged to have been lost, stolen or destroyed, upon the making of an affidavit of that fact by the person claiming the certificate of stock to be lost, stolen or destroyed. When authorizing such issue of a new certificate or certificates, the Board may, in its discretion and as a condition precedent to the issuance thereof, require the owner of such lost, stolen or destroyed certificate or certificates, or his or her legal representative, to advertise the same in such manner as it shall require and/or to give the corporation a bond in such sum as it may direct as indemnity against any claim that may be made against the corporation with respect to the certificate alleged to have been lost, stolen or destroyed. TRANSFER OF STOCK (I) Section 4. Upon surrender to the corporation or the transfer agent of the corporation of a certificate for shares duly endorsed or accompanied by proper evidence of succession, assignation or authority to transfer, it shall be the duty of the corporation to cancel the old certificate and record the transaction upon its books and, if the shares are to continue to be represented by certificate, issue a new certificate to the person entitled thereto. (a) The corporation shall have power to enter into and perform any agreement with any number of stockholders of any one or more classes of stock of the corporation to restrict the transfer of shares of stock of the corporation of any one or more classes owned by such stockholders in any manner not prohibited by the DGCL. FIXING RECORD DATE Section 5. In order that the corporation may determine the stockholders entitled to notice of, or to vote at, any meeting of stockholders or any adjournment thereof, or to express consent to corporate action in writing without a meeting, or entitled to receive payment of any dividend or other distribution or allotment of any rights, or entitled to exercise any rights in respect of any change, conversion or exchange of stock or for the purpose of any other lawful action, the Board may fix, in advance, a record date, which shall not be more than sixty (60) nor less than ten (10) days before the date of such meeting, nor more than sixty (60) days prior to any other action. A determination of stockholders of record entitled to notice of, or to vote at, a meeting of stockholders 21. shall apply to any adjournment of the meeting; provided, however, that the Board may fix a new record date for the adjourned meeting. If no record date is fixed by the Board, the record date for determining stockholders entitled to notice of or to vote at a meeting of stockholders shall be at the close of business on the day immediately preceding the day on which notice is given, or if notice is waived, at the close of business on the day immediately preceding the day on which the meeting is held. A determination of stockholders of record entitled to notice of or to vote at a meeting of stockholders shall apply to any adjournment of the meeting; provided, however, that the Board may fix a new record date for the adjourned meeting. REGISTERED STOCKHOLDERS Section 6. The corporation shall be entitled to recognize the exclusive right of a person registered on its books as the owner of shares to receive dividends, and to vote as such owner, and to hold liable for calls and assessments a person registered on its books as the owner of shares and shall not be bound to recognize any equitable or other claim to or interest in such share or shares on the part of any other person, whether or not it shall have express or other notice thereof, except as otherwise provided by the laws of the State of Delaware. ARTICLE VII GENERAL PROVISIONS DIVIDENDS Section 1. Dividends upon the capital stock of the corporation, subject to the provisions of the Certificate of Incorporation, if any, may be declared by the Board at any regular or special meeting, pursuant to law. Dividends may be paid in cash, in property, or in shares of the capital stock, subject to the provisions of the Certificate of Incorporation. Section 2. Before payment of any dividend, there may be set aside out of any funds of the corporation available for dividends such sum or sums as the Board from time to time, in its absolute discretion, think proper as a reserve or reserves to meet contingencies, or for equalizing dividends, or for repairing or maintaining any property of the corporation, or for such other purposes as the Board shall think conducive to the interest of the corporation, and the Board may modify or abolish any such reserve in the manner in which it was created. CHECKS Section 3. All checks or demands for money and notes of the corporation shall be signed by such officer or officers or such other person or persons as the Board may from time to time designate. 22. FISCAL YEAR Section 4. The fiscal year of the corporation shall be fixed by resolution of the Board. SEAL Section 5. The Board may adopt a corporate seal having inscribed thereon the name of the corporation, the year of its organization and the words “Corporate Seal, Delaware.” The seal may be used by causing it or a facsimile thereof to be impressed or affixed or reproduced or otherwise. INDEMNIFICATION Section 6. The corporation shall indemnify its officers and directors to the full extent permitted by the DGCL; provided, however, that the corporation may modify the extent of such indemnification by individual contracts with its directors and officers. Without limiting the generality of the preceding sentence, the corporation shall indemnify to the full extent permitted by, and in the manner permissible under, the laws of the State of Delaware any person made, or threatened to be made, a party to an action or proceeding, whether criminal, civil, administrative or investigative, by reason of the fact that he or she, his or her testator or intestate is or was a director or officer of the corporation or any predecessor of the corporation, or served any other enterprise as a director or officer at the request of the corporation or any predecessor of the corporation. Expenses incurred by a director or officer of the corporation in defending a civil or criminal action, suit or proceeding by reason of the fact that he or she is or was a director or officer of the corporation (or was serving at the corporation’s request as a director or officer of another enterprise or corporation) shall be paid by the corporation in advance of the final disposition of such action, suit or proceeding upon receipt of an undertaking by or on behalf of such director or officer to repay such amount if it shall ultimately be determined that he or she is not entitled to be indemnified by the corporation as authorized by relevant sections of the DGCL. The foregoing provisions of this Article VII, Section 6 shall be deemed to be a contract between the corporation and each director and officer who serves in such capacity at any time while this bylaw is in effect, and any repeal or modification thereof shall not affect any rights or obligations then existing with respect to any state of facts then or theretofore existing or any action, suit or proceeding theretofore or thereafter brought based in whole or in part upon any such state of facts. The Board in its discretion shall have power on behalf of the corporation to indemnify any person, other than a director or officer, made a party to any action, suit or proceeding by reason of the fact that he or she, his or her testator or intestate, is or was an employee or agent of the corporation and to pay the expenses incurred by any such person in defending such action, suit or proceeding. 23. The foregoing rights of indemnification shall not be deemed exclusive of any other rights to which any director or officer may be entitled apart from the provisions of this Article VII. ARTICLE VIII AMENDMENTS Any bylaw (including these Bylaws) may be adopted, amended or repealed by the vote of the holders of a majority of the shares then entitled to vote at an election of directors, or by vote of the Board or by the directors’ written consent pursuant to Article III, Section 9. ARTICLE IX EXCLUSIVE JURISDICTION OF DELAWARE COURTS Unless the corporation consents in writing to the selection of an alternative forum, the Court of Chancery of the State of Delaware shall be the sole and exclusive forum for (a) any derivative action or proceeding brought on behalf of the corporation, (b) any action asserting a claim of breach of a fiduciary duty owed by any director, officer, employee or agent of the corporation to the corporation or the corporation’s stockholders, (c) any action asserting a claim arising pursuant to any provision of the DGCL, the Certificate of Incorporation or these Bylaws, or (d) any action asserting a claim governed by the internal affairs doctrine. 24. EXHIBIT 4.2 DESCRIPTION OF SYNOPSYS’ SECURITIES REGISTERED PURSUANT TO SECTION 12 OF THE SECURITIES EXCHANGE ACT OF 1934 As of October 31, 2020, Synopsys, Inc. (“Synopsys,” the “Company,” “we” or “our”) had one class of securities registered under Section 12 of the Securities Exchange Act of 1934: our common stock. DESCRIPTION OF CAPITAL STOCK The following summary of the terms of our capital stock is based upon our restated certificate of incorporation and our amended and restated bylaws and applicable provisions of law. The summary is not complete, and is qualified by reference to our restated certificate of incorporation and our amended and restated bylaws, which are filed as exhibits to this Annual Report on Form 10-K and are incorporated by reference herein. Capitalization Our authorized capital stock consists of 402,000,000 shares of stock, including: • • 400,000,000 shares of common stock, par value of $0.01 per share; and 2,000,000 shares of preferred stock, par value of $0.01 per share. Common Stock The holders of shares of our common stock will be entitled to vote on all matters at all meetings of the stockholders of the Company and will be entitled to one vote for each share of common stock entitled to vote at such meeting. At a meeting of stockholders at which a quorum is present, the vote of the holders of a majority of the stock having voting power present in person or represented by proxy and voting on that particular matter will decide questions brought before such meeting other than the election of directors, unless the question is one upon which a different vote is required by express provision of the statutes or our restated certificate of incorporation. Our common stock does not have cumulative voting rights in the election of directors. Each director to be elected by stockholders will be elected by a majority of the votes cast by stockholders upon his or her election at a meeting for the election of directors at which a quorum is present. However, if the number of nominees for election at any such meeting exceeds the number of directors to be elected at such meeting, each director to be so elected will be elected as such by a plurality of the votes cast by stockholders at such meeting. A majority of votes cast will mean that the number of shares voted “for” a director’s election exceeds 50% of the number of votes cast on the issue of that director’s election (including votes “for” and “against” with respect to that director’s election, but excluding any abstentions or broker non-votes). If directors are to be elected by plurality of the votes cast, stockholders will not be permitted to vote against a nominee, and will only include votes “for” and votes to withhold authority. There are no redemption or sinking fund provisions available to our common stock and our common stock does not have any preemptive, subscription or conversion rights. All outstanding shares of common stock are fully paid and nonassessable. Subject to any preferential dividend rights applicable to the shares of preferred stock, the holders of shares of our common stock will be entitled to receive such dividends as may be declared from time to time by our board of directors. In the event of any voluntary or involuntary liquidation, dissolution or winding up of the Company, after distribution in full of the preferential amounts to be distributed to the holders of shares of the preferred stock, the holders of shares of the common stock will be entitled to receive all of the remaining assets of the Company available for distribution to our stockholders, ratably in proportion to the number of shares of the common stock held by such holder. Our common stock is listed on the Nasdaq Global Select Market under the symbol “SNPS.” Preferred Stock Our board of directors is authorized, subject to any limitations prescribed by law, without further action by our stockholders, to fix the rights, preferences, privileges and restrictions of up to an aggregate of 2,000,000 shares of preferred stock in one or more series and authorize their issuance. These rights, preferences and privileges could include dividend rights, conversion rights, voting rights, terms of redemption, liquidation preferences and the number of shares constituting any series or the designation of such series, any or all of which may be greater than the rights of our common stock. Any issuance of our preferred stock could adversely affect the voting power of holders of our common stock and the likelihood that such holders would receive dividend payments and payments upon liquidation. In addition, the issuance of preferred stock could have the effect of delaying, deferring or preventing a change of control or other corporate action. Certain Anti-Takeover Effects Certain provisions of the Delaware General Corporation Law (“DGCL”), our restated certificate of incorporation and our amended and restated bylaws summarized in the paragraphs above and in following paragraphs may have an anti-takeover effect. In other words, they could delay, defer or prevent a tender offer or takeover attempt that a stockholder might consider in its best interests, including those attempts that might result in a premium over the market price for the shares held by such stockholder. Certain Provisions of Our Restated Certificate of Incorporation and Amended and Restated Bylaws Unless otherwise permitted by statute, our amended and restated bylaws vest the power to call special meetings of stockholders in our (i) chairman of our board of directors, (ii) president, (iii) chief executive officer, (iv) secretary at the written request of holders of not less than 20% of all outstanding shares of our capital stock, which shares are held for not less than one (1) year prior to the date such request is delivered to Synopsys or (v) chairman of our board of directors or president or secretary at the request in writing of a majority of our board of directors. Under our restated certificate of incorporation, no action may be taken by the stockholders of the Company without a meeting, and no consents in lieu of a meeting may be taken pursuant to Section 228 of the DGCL. To be properly brought before an annual meeting of stockholders, any stockholder proposal or nomination for election to the board of directors must be delivered to our secretary not later than the close of business on the 120th day nor earlier than the close of business on the 150th day prior to the first anniversary of the date on which we first released our proxy materials to our stockholders for the prior year’s annual meeting; provided that in the event that the date of the annual meeting is advanced or delayed by more than 30 days before or after the anniversary of the preceding year’s annual meeting, a stockholder’s written notice must be delivered not later than the close of business on the 90th day prior to such annual meeting or the 10th day following the announcement of the date of the meeting, whichever is later. Such notice must contain information specified in our amended and restated bylaws as to the director nominee or proposal of other business, information about the stockholder making the nomination or proposal and the beneficial owner, if any, on behalf of whom the nomination or proposal is made. Certain Provisions of Delaware Law We are subject to Section 203 of the DGCL. Section 203 generally prohibits a public Delaware corporation from engaging in a “business combination” with an “interested stockholder” for a period of three years after the date of the transaction in which the person became an interested stockholder, unless: • • • prior to the date of the transaction, the board of directors of the corporation approved either the business combination or the transaction which resulted in the stockholder becoming an interested stockholder; upon consummation of the transaction which resulted in the stockholder becoming an interested stockholder, the interested stockholder owned at least 85% of the voting stock of the corporation outstanding at the time the transaction commenced, excluding for purposes of determining the number of shares outstanding (a) shares owned by persons who are directors and also officers and (b) shares owned by employee stock plans in which employee participants do not have the right to determine confidentially whether shares held subject to the plan will be tendered in a tender or exchange offer; or on or subsequent to the date of the transaction, the business combination is approved by the board of directors and authorized at an annual or special meeting of stockholders, and not by written consent, by the affirmative vote of at least 66 2/3% of the outstanding voting stock which is not owned by the interested stockholder. Section 203 defines a business combination to include: • • • • any merger or consolidation involving the corporation and the interested stockholder; any sale, transfer, pledge or other disposition involving the interested stockholder of 10% or more of the assets of the corporation; subject to exceptions, any transaction that results in the issuance or transfer by the corporation of any stock of the corporation to the interested stockholder; and the receipt by the interested stockholder of the benefit of any loans, advances, guarantees, pledges or other financial benefits provided by or through the corporation. In general, Section 203 defines an interested stockholder as any entity or person beneficially owning 15% or more of the outstanding voting stock of the corporation or any entity or person affiliated with or controlling or controlled by the entity or person. Transfer Agent and Registrar The transfer agent and registrar for our common stock is Computershare Investor Services. SUBSIDIARIES OF SYNOPSYS, INC.* EXHIBIT 21.1 Name Synopsys International Limited SNPS Ireland New Limited Synopsys USIE Holdings LLC Synopsys International Services, Inc. Black Duck Software, Inc. Synopsys Taiwan Co., Ltd. Nihon Synopsys G.K. Synopsys Emulation and Verification S.A.S. Synopsys (India) Private Limited Synopsys Netherlands B.V. Synopsys Korea, Inc. Synopsys Technologies Company Limited Synopsys Technologies Holding LLC Jurisdiction of Incorporation Ireland Ireland Delaware Delaware Delaware Taiwan Japan France India Netherlands Korea China Delaware *Pursuant to Item 601(b)(21)(ii) of Regulation S-K, the names of other subsidiaries of Synopsys, Inc. are omitted because, considered in the aggregate, they would not constitute a significant subsidiary as of the end of the year covered by this report. Consent of Independent Registered Public Accounting Firm EXHIBIT 23.1 The Board of Directors Synopsys, Inc.: We consent to the incorporation by reference in the registration statements (Nos. 333 84517 and 333-68011) on Form S-3 and (Nos. 333-238629, 333-231761, 333-225237, 333-221990, 333-217177, 333-215526, 333-213246, 333-206458, 333-196428, 333-195167, 333-189019, 333-185600, 333-181875, 333-179940, 333-174587, 333-169275, 333-166274, 333-157791, 333-151070, 333-151067, 333-134899, 333-125225, 333-125224, 333-116222, 333-108507, 333-106149, 333-103636, 333-103635, 333-103418, 333-100155, 333-99651, 333-97319, 333-97317, 333-75638, 333-77000, 333-71056, 333-63216, 333-56170, 333-45056, 333-38810, 333-32130, 333-90643, 333-84279, 333-77597, 333-77127, 333-68883, 333-60783, 333-50947, 333-45181, 333-42069, and 333-22663) on Form S-8 of Synopsys, Inc. of our report dated December 14, 2020, with respect to the consolidated balance sheets of Synopsys, Inc. and its subsidiaries as of October 31, 2020 and November 2, 2019, the related consolidated statements of operations, comprehensive income, stockholders’ equity, and cash flows for each of the years in the three-year period ended October 31, 2020, and the related notes, and the effectiveness of internal control over financial reporting as of October 31, 2020, which report appears in the October 31, 2020 annual report on Form 10 K of Synopsys, Inc. Our report refers to changes to the methods of accounting for leases, revenue, and sales commissions. Santa Clara, California December 14, 2020 /s/ KPMG LLP EXHIBIT 31.1 I, Aart J. de Geus, certify that: CERTIFICATION 1. 2. 3. 4. I have reviewed this Annual Report on Form 10-K of Synopsys, Inc.; Based on my knowledge, this report does not contain any untrue statement of a material fact or omit to state a material fact necessary to make the statements made, in light of the circumstances under which such statements were made, not misleading with respect to the period covered by this report; Based on my knowledge, the financial statements, and other financial information included in this report, fairly present in all material respects the financial condition, results of operations and cash flows of the registrant as of, and for, the periods presented in this report; The registrant’s other certifying officers and I are responsible for establishing and maintaining disclosure controls and procedures (as defined in Exchange Act Rules 13a-15(e) and 15d-15(e)) and internal control over financial reporting (as defined in Exchange Act Rules 13a-15(f) and 15d-15(f)) for the registrant and have: (a) (b) (c) (d) Designed such disclosure controls and procedures, or caused such disclosure controls and procedures to be designed under our supervision, to ensure that material information relating to the registrant, including its consolidated subsidiaries, is made known to us by others within those entities, particularly during the period in which this report is being prepared; Designed such internal control over financial reporting, or caused such internal control over financial reporting to be designed under our supervision, to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles; Evaluated the effectiveness of the registrant’s disclosure controls and procedures and presented in this report our conclusions about the effectiveness of the disclosure controls and procedures, as of the end of the period covered by this report based on such evaluation; and Disclosed in this report any change in the registrant’s internal control over financial reporting that occurred during the registrant’s most recent fiscal quarter (the registrant’s fourth fiscal quarter in the case of an annual report) that has materially affected, or is reasonably likely to materially affect, the registrant’s internal control over financial reporting; and 5. The registrant’s other certifying officers and I have disclosed, based on our most recent evaluation of internal control over financial reporting, to the registrant’s auditors and the audit committee of the registrant’s board of directors (or persons performing the equivalent functions): (a) (b) All significant deficiencies and material weaknesses in the design or operation of internal control over financial reporting which are reasonably likely to adversely affect the registrant’s ability to record, process, summarize and report financial information; and Any fraud, whether or not material, that involves management or other employees who have a significant role in the registrant’s internal control over financial reporting. Date: December 14, 2020 /s/ Aart J. de Geus Aart J. de Geus Co-Chief Executive Officer and Chairman (Co-Principal Executive Officer) EXHIBIT 31.2 I, Chi-Foon Chan, certify that: CERTIFICATION 1. 2. 3. 4. I have reviewed this Annual Report on Form 10-K of Synopsys, Inc.; Based on my knowledge, this report does not contain any untrue statement of a material fact or omit to state a material fact necessary to make the statements made, in light of the circumstances under which such statements were made, not misleading with respect to the period covered by this report; Based on my knowledge, the financial statements, and other financial information included in this report, fairly present in all material respects the financial condition, results of operations and cash flows of the registrant as of, and for, the periods presented in this report; The registrant’s other certifying officers and I are responsible for establishing and maintaining disclosure controls and procedures (as defined in Exchange Act Rules 13a-15(e) and 15d-15(e)) and internal control over financial reporting (as defined in Exchange Act Rules 13a-15(f) and 15d-15(f)) for the registrant and have: (a) (b) (c) (d) Designed such disclosure controls and procedures, or caused such disclosure controls and procedures to be designed under our supervision, to ensure that material information relating to the registrant, including its consolidated subsidiaries, is made known to us by others within those entities, particularly during the period in which this report is being prepared; Designed such internal control over financial reporting, or caused such internal control over financial reporting to be designed under our supervision, to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles; Evaluated the effectiveness of the registrant’s disclosure controls and procedures and presented in this report our conclusions about the effectiveness of the disclosure controls and procedures, as of the end of the period covered by this report based on such evaluation; and Disclosed in this report any change in the registrant’s internal control over financial reporting that occurred during the registrant’s most recent fiscal quarter (the registrant’s fourth fiscal quarter in the case of an annual report) that has materially affected, or is reasonably likely to materially affect, the registrant’s internal control over financial reporting; and 5. The registrant’s other certifying officers and I have disclosed, based on our most recent evaluation of internal control over financial reporting, to the registrant’s auditors and the audit committee of the registrant’s board of directors (or persons performing the equivalent functions): (a) (b) All significant deficiencies and material weaknesses in the design or operation of internal control over financial reporting which are reasonably likely to adversely affect the registrant’s ability to record, process, summarize and report financial information; and Any fraud, whether or not material, that involves management or other employees who have a significant role in the registrant’s internal control over financial reporting. Date: December 14, 2020 /s/ Chi-Foon Chan Chi-Foon Chan Co-Chief Executive Officer and President (Co-Principal Executive Officer) EXHIBIT 31.3 I, Trac Pham, certify that: CERTIFICATION 1. 2. 3. 4. I have reviewed this Annual Report on Form 10-K of Synopsys, Inc.; Based on my knowledge, this report does not contain any untrue statement of a material fact or omit to state a material fact necessary to make the statements made, in light of the circumstances under which such statements were made, not misleading with respect to the period covered by this report; Based on my knowledge, the financial statements, and other financial information included in this report, fairly present in all material respects the financial condition, results of operations and cash flows of the registrant as of, and for, the periods presented in this report; The registrant’s other certifying officers and I are responsible for establishing and maintaining disclosure controls and procedures (as defined in Exchange Act Rules 13a-15(e) and 15d-15(e)) and internal control over financial reporting (as defined in Exchange Act Rules 13a-15(f) and 15d-15(f)) for the registrant and have: (a) (b) (c) (d) Designed such disclosure controls and procedures, or caused such disclosure controls and procedures to be designed under our supervision, to ensure that material information relating to the registrant, including its consolidated subsidiaries, is made known to us by others within those entities, particularly during the period in which this report is being prepared; Designed such internal control over financial reporting, or caused such internal control over financial reporting to be designed under our supervision, to provide reasonable assurance regarding the reliability of financial reporting and the preparation of financial statements for external purposes in accordance with generally accepted accounting principles; Evaluated the effectiveness of the registrant’s disclosure controls and procedures and presented in this report our conclusions about the effectiveness of the disclosure controls and procedures, as of the end of the period covered by this report based on such evaluation; and Disclosed in this report any change in the registrant’s internal control over financial reporting that occurred during the registrant’s most recent fiscal quarter (the registrant’s fourth fiscal quarter in the case of an annual report) that has materially affected, or is reasonably likely to materially affect, the registrant’s internal control over financial reporting; and 5. The registrant’s other certifying officers and I have disclosed, based on our most recent evaluation of internal control over financial reporting, to the registrant’s auditors and the audit committee of the registrant’s board of directors (or persons performing the equivalent functions): (a) (b) All significant deficiencies and material weaknesses in the design or operation of internal control over financial reporting which are reasonably likely to adversely affect the registrant’s ability to record, process, summarize and report financial information; and Any fraud, whether or not material, that involves management or other employees who have a significant role in the registrant’s internal control over financial reporting. Date: December 14, 2020 /s/ Trac Pham Trac Pham Chief Financial Officer (Principal Financial Officer) EXHIBIT 32.1 Certification Pursuant to Section 906 of the Sarbanes-Oxley Act of 2002 (Subsections (a) and (b) of Section 1350, Chapter 63 of Title 18, United States Code) Pursuant to the requirement set forth in Rule 13a-14(b) of the Securities Exchange Act of 1934, as amended (the “Exchange Act”) and Section 1350, Chapter 63 of Title 18 of the United States Code (18 U.S.C-§1350), each of Aart J. de Geus, Co-Chief Executive Officer and Chairman of Synopsys, Inc., a Delaware corporation (the “Company”), Chi-Foon Chan, Co-Chief Executive Officer and President of the Company, and Trac Pham, Chief Financial Officer of the Company, does hereby certify, to such officer’s knowledge that: The Annual Report on Form 10-K for the fiscal year ended October 31, 2020 (the “Form 10-K”) to which this Certification is attached as Exhibit 32.1 fully complies with the requirements of Section 13(a) or 15(d) of the Exchange Act. The information contained in the Form 10-K fairly presents, in all material respects, the financial condition and results of operations of the Company. IN WITNESS WHEREOF, the undersigned have set their hands hereto as of December 14, 2020. /s/ Aart J. de Geus Aart J. de Geus Co-Chief Executive Officer and Chairman /s/ Chi-Foon Chan Chi-Foon Chan Co-Chief Executive Officer and President /s/ Trac Pham Trac Pham Chief Financial Officer The foregoing certification is being furnished solely pursuant to Section 906 of the Sarbanes-Oxley Act of 2002 (subsections (a) and (b) of Section 1350, Chapter 63 of Title 18, United States Code) and is not deemed filed with the Securities and Exchange Commission as part of the Form 10-K or as a separate disclosure document and is not to be incorporated by reference into any filing of the Company under the Securities Act of 1933, as amended, or the Securities Exchange Act of 1934, as amended (whether made before or after the date of the Form 10-K), irrespective of any general incorporation language contained in such filing.
Continue reading text version or see original annual report in PDF format above