More annual reports from TSMC:
2023 ReportPeers and competitors of TSMC:
SemtechTSMC Vision, Mission & Core Values Table of Contents TSMC’s Vision Our vision is to be the most advanced and largest technology and foundry services provider to fabless companies and IDMs, and in partnership with them, to forge a powerful competitive force in the semiconductor industry. To realize our vision, we must have a trinity of strengths: 1 be a technology leader, competitive with the leading IDMs 2 be the manufacturing leader 3 be the most reputable, service-oriented and maximum- total-benefits silicon foundry TSMC’s Mission Our mission is to be the trusted technology and capacity provider of the global logic IC industry for years to come. TSMC’s Core Values Integrity Integrity is our most basic and most important core value. We tell the truth. We believe the record of our accomplishments is the best proof of our merit. Hence, we do not brag. We do not make commitments lightly. Once we make a commitment, we devote ourselves completely to meeting that commitment. We compete to our fullest within the law, but we do not slander our competitors and we respect the intellectual property rights of others. With vendors, we maintain an objective, consistent, and impartial attitude. We do not tolerate any form of corrupt behavior or politicking. When selecting new employees, we place emphasis on the candidates’ qualifications and character, not connections or access. Commitment TSMC is committed to the welfare of customers, suppliers, employees, shareholders, and society. These stakeholders all contribute to TSMC’s success, and TSMC is dedicated to serving their best interests. In return, TSMC hopes all these stakeholders will make a mutual commitment to the Company. Innovation Innovation is the wellspring of TSMC’s growth, and is a part of all aspects of our business, from strategic planning, marketing and management, to technology and manufacturing. At TSMC, innovation means more than new ideas, it means putting ideas into practice. Customer Trust At TSMC, customers come first. Their success is our success, and we value their ability to compete as we value our own. We strive to build deep and enduring relationships with our customers, who trust and rely on us to be part of their success over the long term. 5. Operational Highlights 5.1 Business Activities 5.2 Technology Leadership 5.3 Manufacturing Excellence 5.4 Customer Trust 5.5 Employees 5.6 Material Contracts 6. Financial Highlights and Analysis 6.1 Financial Highlights 6.2 Financial Status and Operating Results 6.3 Risk Management 7. Corporate Social Responsibility 7.1 Overview 64 65 66 70 73 75 79 80 81 90 95 106 107 7.2 Environmental, Safety and Health (ESH) Management 111 7.3 TSMC Education and Culture Foundation 7.4 TSMC Volunteer Program 7.5 TSMC i-Charity 7.6 Social Responsibility Implementation Status as Required by the Taiwan Financial Supervisory Commission 120 122 124 124 8. Subsidiary Information and Other Special Notes 126 8.1 Subsidiaries 8.2 Status of TSMC Common Shares and ADRs Acquired, Disposed of, and Held by Subsidiaries 8.3 Special Notes 127 131 131 1. Letter to Shareholders 2. Company Profile 2.1 An Introduction to TSMC 2.2 Market/Business Summary 2.3 Organization 2.4 Board Members 2.5 Management Team 3. Corporate Governance 3.1 Overview 3.2 Board of Directors 3.3 Major Decisions of Shareholders’ Meeting and Board Meetings 3.4 Taiwan Corporate Governance Implementation as Required by the Taiwan Financial Supervisory Commission 3.5 Code of Ethics and Business Conduct 3.6 Regulatory Compliance 3.7 Internal Control System Execution Status 3.8 Status of Personnel Responsible for the Company’s Financial and Business Operation 3.9 Information Regarding TSMC’s Independent Auditor 3.10 Material Information Management Procedure 4. Capital and Shares 4.1 Capital and Shares 4.2 Issuance of Corporate Bonds 4.3 Preferred Shares 4.4 Issuance of American Depositary Shares 4.5 Status of Employee Stock Option Plan 4.6 Status of Employee Restricted Stock 4.7 Status of New Share Issuance in Connection with Mergers and Acquisitions 4.8 Financing Plans and Implementation 2 6 7 8 12 14 20 28 29 30 35 37 39 43 45 46 46 47 48 48 56 58 58 60 62 62 62 1. Letter to Shareholders Dear Shareholders, 2015 was a record-breaking year for TSMC, in which we achieved record revenue and profits and made important technology breakthroughs in the face of a challenging business environment for the semiconductor industry worldwide. In 2015, lingering issues of a weakening global economy, stronger US Dollar and financial market volatility dampened the overall demand for semiconductors and lengthened the inventory adjustment cycle, but TSMC continued to reap the benefits of the progress we made in technology and manufacturing. TSMC’s leadership in technology, coupled with our ability to provide the right capacity for customers at the right time, was a major factor in our ability to outperform our semiconductor industry peers in 2015. In addition to doubling the 20-nanometer business from the 2014 level, TSMC also saw successful introduction and record ramp-up speed for our industry-leading 16-nanometer FinFET process. The 16-nanometer and the 20-nanometer nodes together contributed 20 percent of our 2015 wafer revenue, up from 9 percent in 2014. In addition, we continued making good progress toward achieving the next major industry milestone, 10-nanometer capability and the development of 7-nanometer technology. With improvements in yield learning and device performance, we now anticipate to start customer 10-nanometer product tape-outs in the first quarter of 2016. Despite rising technological complexity and higher capital requirements, TSMC continues to follow the cadence and the economy of process technology advancement, known as “Moore’s Law”. Moore’s Law has already put high-powered computing and globe-spanning communications into the pockets of ordinary people around the world and made ICs powerful and affordable that they can connect mundane objects around us into intelligent networks. TSMC is dedicated to continued investment in the R&D and advanced capacity necessary to not only benefit from the march of Moore’s Law, but also to keep driving it forward. Highlights of TSMC’s accomplishments in 2015: ■Total wafer shipments increased 6.1 percent from 2014 to reach 8,763 thousand 12-inch equivalent wafers. ■Advanced technologies (28-nanometer and beyond) accounted for 48 percent of total wafer revenue, up from 42 percent in 2014. ■We deployed 228 process technologies, and manufactured 8,941 products for 470 customers. ■TSMC’s market share in the total semiconductor foundry segment rose successively during the last six years and reached 55 percent in 2015. 2015 Financial Performance Consolidated revenue totaled NT$843.50 billion, an increase of 10.6 percent over NT$762.81 billion in 2014. Net income was NT$306.57 billion and diluted earnings per share were NT$11.82. Both increased 16 percent from the 2014 level of NT$263.90 billion net income and NT$10.18 diluted EPS. In US dollars, TSMC generated net income of US$9.67 billion on consolidated revenue of US$26.61 billion, compared with net income of US$8.71 billion on consolidated revenue of US$25.17 billion in 2014. Gross profit margin was 48.7 percent compared with 49.5 percent in 2014, and operating profit margin was 37.9 percent compared with 38.8 percent a year earlier. Net profit margin was 36.3 percent, an increase of 1.7 percentage points from the prior year’s 34.6 percent, aided by non-operating items, including NT$22.1 billion gain from disposal of ASML shares. TSMC increased its cash dividend payment to NT$4.5 per share for 2014 profit distribution, up from NT$3 over the last eight years, to reflect rising free cash flow generation. We are confident in our ability to maintain a sustainable level of cash dividend to our shareholders going forward and will consider increasing the dividends if and when it is appropriate. 002 002 003 003 Technological Developments Five years into volume production of 28-nanometer technology, TSMC continued to make innovations and introduced 28HPC and 28HPC+ to its industry-leading 28-nanometer technology platform in 2015. These latest additions enable smaller die size circuit designs with higher performance and lower power consumption. Because TSMCÕ s 28-nanometer solutions are highly competitive in both technology and cost, we saw increasing number of customer product tape-outs in 2015 and believe we should be able to maintain our substantial (above 70 percent) market share in this significant node in the next few years. Our 20nm process paved the way for the successful introduction and production ramp-up of our 16FF+ technology in 2015, with yield ramping ahead of plan. Customers have been active in engaging with TSMC and nearly 40 product tape-outs were scheduled before the end of 2015. Drawing on our experience in 16FF+, we completed the development of a highly competitive and cost-effective solution, 16FFC, which incorporates optical shrink and process simplification for further die cost scaling and is directly transferrable from 16FF+. Volume ramp of 16FFC is expected to begin in 2016. Both 16FF+ and 16FFC are positioned to drive future growth with high volume applications across mobile, networking, CPU, FPGA, consumer and GPUs. In 2015, we completed technology qualification for 10-nanometer and it is on track to meet the goal of production start-up in 2016. TSMCÕ s 7-nanometer technology was in full development in 2015 and is on track for risk production in the first half of 2017. It shares more than 95 percent common tools with our 10-nanometer process and offers substantial density improvement and power reduction given the same chip performance. Our 5-nanometer node is undergoing definition with intensive advanced development efforts. Exploratory R&D work focusing on new transistors and technologies is on-going to establish a solid foundation to feed into future technology platforms. Our advanced 3D IC packaging InFO technology that integrates 16-nanometer SoC and DRAM package for advanced mobile products was successfully qualified in 2015 and is expected to start volume production by mid-2016. Meanwhile, we continued to expand TSMCÕ s Open Innovation Platform¨ in the semiconductor industry. In 2015, more than 10,000 items were contained in our libraries and silicon IP portfolio, an 18 percent increase from 2014. More than 7,500 technology files and over 200 process design kits were available to customers via TSMC-Online which saw more than 100,000 customer downloads in 2015. (OIP), which is the most comprehensive design ecosystem Corporate Developments In January 2015, TSMCÕ s board of directors approved the sale of TSMC Solid State Lighting to Epistar. Upon the closing of the sale, TSMC completely exited the LED industry. In August 2015, TSMC announced that TSMC Solar would cease manufacturing operations by end of that month, as we believed that our solar business was no longer economically sustainable. All outstanding warranties to existing customers will be honored, and all Taiwan employees were extended employment offers at TSMC. In December 2015, TSMC submitted an application to the Investment Commission of TaiwanÕ s Ministry of Economic Affairs (MoEA) to build a wholly-owned 12-inch wafer manufacturing facility and to start a design service center in Nanjing, China. The purpose is to enhance our access to business opportunities in China market. Pending approval from the MoEA, the investment project will commence in 2016 with production targeted in second half of 2018. Honors and Awards TSMC received recognitions for achievements in innovation, business information disclosure, sustainability, investor relations and overall excellence in management from organizations including BarronÕs, FinanceAsia, Fortune Magazine, Institutional Investor, IR Magazine, GlobalViews Magazine, CommonWealth Magazine, RobecoSAM and the Taiwan Stock Exchange. The IEEE Spectrum Magazine gave TSMC the highest score in its 2015 Patent Power Scorecard in the semiconductor manufacturing sector. For the third consecutive year, TSMC was named Semiconductor and Semiconductor Equipment Industry Group Leader by the Dow Jones Sustainability Indices, reflecting our commitment to sustainability and corporate social responsibility. Outlook TSMC pioneered the dedicated foundry business model nearly 30 years ago by being committed to delivering technology leadership and manufacturing excellence with an unmatched focus on earning customersÕ trust. We forecast the recovery in the global economy will lead to growth for the semiconductor industry in 2016. More importantly, TSMCÕ s firm dedication to our business model will enable us to outgrow considerably the semiconductor industry in both 2016 and beyond, just as we have consistently done throughout our history. Capacity Plan 12% 2014 12% 2015 10% 2016 Despite product cycle ebbs and flows, semiconductors have been and will remain a basic and pervasive technology, defining how we live. Innovators have not stopped finding ways to create new applications and services that open up undiscovered opportunities. The rise of connected or Ò smartÓ devices in smart cars, drones, robots, virtual reality/augmented reality, artificial intelligence and wearables is creating a need for significant increases in processor speeds and capability. At TSMC, we are working with our customers to drive these emerging innovations to market over the coming years. 2014 2015 2016 Annual Growth Rate Capacity: million 12-inch equivalent wafers Sales Plan 58% 52% 8-9 9-10 10-11 42% 48% 40-50% 50-60% > 28nm wafer revenue ≤ 28nm wafer revenue 2016 wafer shipment is expected to be 9-10 million 12-inch equivalent wafers. Being Ò everyoneÕ s foundryÓ has been a key part of our core strategy, and we will continue to commit resources to technology development and capacity build for both the Ò MooreÕ s LawÓ and the Ò More than MooreÓ technologies. As we carry out our mission as the trusted technology and capacity provider for the global logic IC industry, we are well positioned to deliver strong returns to our shareholders for years to come. Morris Chang Morris Chang Chairman 004 005 2. Company Profile 2.1 An Introduction to TSMC Established in 1987 and headquartered in Hsinchu, Taiwan, TSMC pioneered the foundry business model by focusing solely on manufacturing customers’ designs. The Company does not design, manufacture or market semiconductor products under its own brand name, ensuring that it does not compete directly with its customers. Today, TSMC is the world’s largest pure-play in the semiconductor foundry business, manufacturing 8,941 different products using 228 different technologies for 470 different customers in 2015. With such a large and diverse global customer base, TSMC-manufactured semiconductors are used in a wide variety of applications covering various segments of the computer, communications, consumer, industrial and standard semiconductor markets. Such strong diversification helps smooth fluctuations in market demand, which leads to higher levels of capacity utilization and profitability. Annual capacity of the manufacturing facilities managed by TSMC and its subsidiaries reached above 9 million 12-inch equivalent wafers in 2015. These facilities include three 12-inch wafer GIGAFAB® facilities, four 8-inch wafer fabs, and one 6-inch wafer fab in Taiwan, as well as two 8-inch wafer fabs at wholly owned subsidiaries: WaferTech in the United States and TSMC China Company Limited. In December 2015, TSMC submitted an application to the Investment Commission of Taiwan’s Ministry of Economic Affairs (MoEA) to build a wholly-owned 12-inch wafer manufacturing facility and to start a design service center in Nanjing, China. The purpose is to enhance our access to business opportunities in China market. Pending approval from the MoEA, the investment project will commence in 2016 with production targeted in second half of 2018. TSMC provides customer service through its account management and engineering services offices in North America, Europe, Japan, China, South Korea and India. The Company employed more than 45,000 people worldwide at the end of 2015. TSMC’s subsidiaries TSMC Solid State Lighting Ltd. and TSMC Solar Ltd. had been formed to engage in the research, development, design, manufacturing and sale of solid state lighting devices and related products and systems, and solar-related technologies and products, respectively. In January 2015, TSMC’s board of directors approved the sale of TSMC Solid State Lighting to Epistar. Upon the closing of the sale, TSMC completely exited the LED industry. In August 2015, TSMC announced that TSMC Solar would cease manufacturing operations by end of that month, as we believed that our solar business was no longer economically sustainable. All outstanding warranties to existing customers will be honored, and all Taiwan employees were extended employment offers at TSMC. The Company is listed on the Taiwan Stock Exchange (TWSE) under ticker number 2330, and its American Depositary Shares (ADSs) trade on the New York Stock Exchange (NYSE) under the symbol TSM. 006 006 007 007 2.2 Market/Business Summary 2.2.1 TSMC Achievements In 2015, TSMC maintained its leading position in the total foundry segment of the global semiconductor industry, with an estimated market share of 55%. TSMC achieved this result despite intense competition from both established players and relatively new entrants to the business. Leadership in advanced process technologies is a key factor in TSMC’s strong market position. In 2015, 48% of TSMC’s wafer revenue came from manufacturing processes with geometries of 28nm and below. With TSMC’s focus on customer trust, the Company strengthened its Open Innovation Platform® (OIP) initiative in 2015 with additional services. During the 2015 Open Innovation Platform® Ecosystem Forum, held in September in Santa Clara, California, the Company revealed 10nm FinFET Reference Flow (both full-chip and IP Design), which highlighted the success of OIP-enabled design. The Forum was well attended by both customers and ecosystem partners and demonstrated the value of collaboration through OIP to foster innovations. TSMC offers the foundry segment’s widest technology portfolio and continues to invest in advanced technologies and specialty technologies, which is a key differentiator from our competitors and provides customers more added value. Technologies that the Company either developed or introduced in 2015 include: Logic Technology ● 7nm FinFET technology made good developmental progress. Risk production of this technology is expected to start in the first quarter of 2017. A very fast yield ramp-up is expected as the Company leverages the advantage that more than 95% of tools for 7nm FinFET are compatible with those for 10nm FinFET. Currently, we are working with several customers on multiple product tape-outs. Compared to 10nm FinFET, 7nm FinFET features approximately 15~20% speed improvement or 35~40% power reduction. In addition, 7nm FinFET provides customers optimized processes for mobile applications and high-performance computing devices. ● 10nm FinFET technology began customer product tape-out in the first quarter of 2016. Thanks to its aggressive geometric shrinkage, this technology offers 2.1X gate density improvement over 16nm, providing excellent density/cost benefits. It can serve customers from all different market segments, including mobile, server and graphic. ● 16nm FinFET Plus technology (16FF+) entered high-volume production in 2015 with yield ramping ahead of plan. Currently, a total of approximately 50 product tape-outs were received. Most of them achieved first-time silicon success. TSMC’s comprehensive 16FF+ design ecosystem supports a wide variety of Electronic Design Automation (EDA) tools and hundreds of process design kits with more than 100 Intellectual Property cores (IPs), all of which have been silicon validated. In addition, 16nm FinFET Compact technology (16FFC), a cost-effective version of TSMC’s 16nm technology, started volume production in the first quarter of 2016. This process is also seamlessly applicable to the 16nm ecosystem, accelerating time-to-market for customers. 16FFC can maximize die cost scaling by incorporating optical shrink and process simplification at the same time. ● 20nm System-on-Chip technology (20SoC) entered volume production with stable yield performance. It provides better density and power value than 28nm thanks to its advanced patterning technique for both performance-driven products and mobile computing applications migration. ● 28nm High Performance Compact (28HPC) technology for mobile computing led the way for mainstream smartphones, DTVs, storage and SoC applications. 28HPC enables smaller die size circuit designs, less over-design and extraordinary power reduction with excellent process control and optimized design rules. ● 28nm High Performance Compact Plus (28HPC+) technology for mobile computing provided further performance enhancement or power reduction of mainstream smartphones, DTVs, storage, audio and SoC applications. Compared to 28HPC, 28HPC+ improves device performance by 15% or reduces leakage by 50%. In addition, 28HPC+ enables low Vdd designs in Ultra Low Power (28ULP) applications for the Internet of Things (IoT) market. In addition, this process is seamlessly applicable to the 28nm ecosystem, accelerating time-to-market for customers. ● 28nm Low Power (28LP and 28HPC) and RF (28LP-RF and 28HPC-RF) technologies were used for entry-level smartphones, application processors, tablets, home entertainment systems and digital consumer applications. ● 40nm ultra-low power and RF technologies started production in the first quarter of 2016 for the IoT and wearable devices related applications, such as wireless connectivity, application processor, and sensor hub applications. ● 55nm Ultra-Low Power (55ULP) technology went into production. Compared to 55nm Low Power (55LP) process, this technology can significantly increase battery life for IoT applications. In addition, 55ULP integrates RF and eFlash to enable customers’ SoC designs. Specialty Technology ● The world’s first 16FF+ SoC for automotive applications was successfully produced. ● 40nm eFlash started risk production in the fourth quarter of 2015 for applications such as high-endurance security MCU, wireless MCU, IoT devices, and high-performance MCU, etc. ● 40nm Ultra-Low Power (ULP) eFlash was developed and is expected to start production in the second half of 2016 for applications such as wireless MCU, IoT devices, wearable devices, and high-performance MCU. ● 55nm Ultra-Low Power (ULP) eFlash started production for battery-powered applications, such as wireless MCUs, IoT, wearable devices, and general-purpose MCUs. ● 55nm eFlash technologies started risk production for automotive applications in the fourth quarter of 2015, such as body control module (BCM), Electric Power Steering (EPS) and electric vehicles (EV)/hybrid electric vehicles (HEV). ● 40nm high-voltage technology was qualified for top-end smartphone display drivers. This technology can improve display quality and reduce power consumption significantly. ● 45nm 1.0 pixel TSMC Stacked Illumination CMOS Image Sensor technology was fully qualified and started production in the fourth quarter of 2015 for mid- to high-end mobile cameras. ● 0.13µm Bipolar-CMOS-DMOS (BCD) process was readied for production on both 8-inch and 12-inch wafers. This process in 12-inch fabs extended qualification for Automotive Electronic Council (AEC) AEC-Q100 Grade-0 in the first half of 2015. ● 0.18µm BCD third generation underwent process validation by customers. Compared to the second generation, this technology provides superior cost competitiveness and is expected to ramp in the second half of 2016. ● 0.5µm GaN on Silicon 650V Enhancement Mode HEMT process was qualified for discrete power applications. Customer samples were sent out to hundreds of their customers for qualification, as this technology is expected to enter production in the second half of 2016. ● Successfully demonstrated the world’s smallest CMOS-MEMS monolithic pressure sensor with accuracy down to 10cm air pressure change in elevation. ● Successfully demonstrated Bio Metal-Oxide-Semiconductor Field-Effect Transistor (Bio-MOSFET) technology for bio-chemical analysis, with 5-10X sensitivity improvement over traditional techniques. It can provide high accuracy and high throughput analysis for Point-of-Care applications. Advanced Packaging Technology ● InFO PoP technology that integrates 16nm SoC and DRAM for advanced mobile products was successfully qualified in the fourth quarter of 2015. This technology is expected to start volume production in the first half of 2016. ● CoWoS-XL (CoWoS® technology that features extra-large interposer of > 32mmx26mm in size) was successfully developed and qualified in 2015. It enables the integration of more or bigger advanced chips in one CoWoS® module. Volume production is expected in the first half of 2016 for the 20nm multi-chip scheme for FPGA and 16nm SoC integrated with next generation high-bandwidth DRAM (HBM2) devices for ultrahigh-performance computing using the CoWoS-XL scheme. ● Production started in 2015 of fine pitch (80µm pitch) Bump-on-Trace (BoT) Cu bump technology for flip chip packaging on 16nm silicon as well as for 28nm silicon in Wafer Level Chip Scale Packaging (WLCSP) technologies for mobile applications. 2.2.2 Market Overview TSMC estimates that the worldwide semiconductor market in 2015 was US$354 billion in revenue, representing zero year-over-year growth, a sharp plunge from the 10% YoY growth recorded in 2014. In the foundry sub-segment of the semiconductor industry, total revenues were US$44 billion in 2015, representing 4% YoY growth. 2.2.3 Industry Outlook, Opportunities and Threats Industry Demand and Supply Outlook The decline in the foundry segment growth to 4% in 2015 from 14% in 2014 was driven mainly by a market slowdown and a prolonged inventory correction. TSMC forecasts the total semiconductor market to grow 1% in 2016. Over the longer term, driven by increasing semiconductor content in electronic devices, continuing market share gains by fabless companies, and expanding in-house Application-Specific Integrated Circuits (ASIC) from system companies, the Company expects foundry segment revenue growth to be much stronger than the 3% compound annual growth rate projected for the total semiconductor industry from 2015 through 2020. As an upstream supplier in the semiconductor supply chain, the foundry segment is tightly correlated with the market health of the three Cs, communications, computer, consumer, and the emerging IoT. 008 009 ● Communications The communications sector, particularly the Smartphone segment, posted a 10% growth in unit shipments for 2015. Although the growth is slowing down, continuing transition to 4G/LTE and LTE-Advanced will bring mid- to high-single digit growth to the Smartphone market in 2016. Smartphones with increasing performance, lower power usage and more intelligent features will continue to propel buying interests. The increasing popularity of low-end smartphones in emerging countries will also drive the growth of the sector. Low-power IC is an essential requirement among handset manufacturers. The SoC design for more optimized cost, power and form factor (device footprint), plus the appetite for higher performance to run complex software and higher resolution video will continue to accelerate the migration to advanced process technologies, in which TSMC is already the leader. ● Computer After a 1% decline in 2014, the computer sector’s unit shipments dropped 8% YoY in 2015. The decline was driven by prolonged replacement cycle, inventory correction, the end of Windows XP migration, and the new Windows 10 free upgrade. The personal computer market is expected to decline by mid-single digit percentage in 2016. Increasing variety (e.g. Convertible, Ultrabook and Chromebook), the business adoption of Windows 10, and steady consumer upgrades to aging PCs, however, are expected to help buoy PC demand. Requirements of lower power, higher performance and the integration of key computer components such as CPU, GPU, Chipset, etc., should drive demand for product redesign towards leading process technologies. ● Consumer The consumer sector’s unit shipments declined 6% in 2015. While new-generation TV game consoles and set-top boxes still showed positive growth, the rest of the sector – TVs, MP3 players, digital cameras and hand-held game consoles – continued to decline due to economic softness and foreign exchange issues, as well as functional cannibalization by smartphones. Although consumer electronics will continue to decline in 2016, 4K (UHD) TVs and 4K set-top boxes should achieve high growth within the sector. TSMC will be able to capitalize on these trends with advanced technology offerings. ● IoT The Internet of Things (IoT) is taking shape as the “next big thing,” since more and more devices are being connected to the Internet. The IoT will have 10X greater installed unit potential than the smartphone will have in 2025. Applications and products benefiting from IoT related technologies include smart wearables, home robots, smart meters, self-driving cars, and so on. These applications and products will require much longer battery life, diversified sensors and low-power wireless connections, which will challenge technology development in new ways. TSMC’s ultra-low-power logic and RF solutions, and diversified sensing technologies will help customers lead the way for this future growth. Supply Chain The electronics industry consists of a long and complex supply chain, the elements of which are highly dependent and correlated with each other. At the upstream IC manufacturing level, it is important for IC vendors to have sufficient and flexible supply to support the dynamic market situation. The foundry vendors are playing an important role to ensure the health of the supply chain. As a leader in the foundry segment, TSMC provides leading technologies and large-scale capacity to complement the innovations created along the downstream chain. 2.2.4 TSMC Position, Differentiation, and Strategy Position TSMC is the worldwide semiconductor foundry leader for both advanced and specialty process technologies, commanding a 55% market share in 2015. Net revenues by geography were: 68% from North America; 12% from the Asia Pacific region, excluding China and Japan; 8% from China; 7% from Europe, the Middle East and Africa; and 5% from Japan. Net revenues by end-product application were: 8% from the computer sector, 61% from communications, 8% from consumer products, and 23% from industrial and standard products. Differentiation TSMC’s leadership position is based on three defining competitive strengths and a business strategy rooted in the Company’s heritage. TSMC distinguishes itself from the competition through its technology leadership, manufacturing excellence and customer trust. As a technology leader, TSMC is consistently first among dedicated foundries that provide next-generation leading-edge technologies. The Company has also established its leadership on more mature technology nodes by applying the lessons learned on leading-edge technology development to enrich its specialty technologies to more advanced process nodes. Beyond process technology, TSMC has established front-end and backend integration capabilities that result in faster time-to-production and create the best power, performance and area sweet spot. TSMC has gained manufacturing acclaim for its industry-leading management and is extending that leadership through its Open Innovation Platform® and Grand Alliance initiatives. The TSMC Open Innovation Platform® initiative hastens the pace of innovation in the semiconductor design community and among its ecosystem partners, as well as the Company’s IP, design implementation and design for manufacturing capabilities, process technology and backend services. A key element is a set of ecosystem interfaces and collaborative components initiated and supported by TSMC that more efficiently empower innovation throughout the supply chain and drive the creation and sharing of newly created revenue and profits. The TSMC Grand Alliance is one of the most powerful forces for innovation in the semiconductor industry, bringing together customers, electronic design automation (EDA) partners, IP partners, and key equipment and materials suppliers at a new, higher level of collaboration. Its objective is to help customers, alliance members and TSMC win business and stay competitive. The foundation for customer trust is a commitment TSMC made when it opened for business in 1987: to never compete with its customers. As a result, TSMC has never owned or marketed a single semiconductor product design, but instead has focused all of its resources on becoming the trusted foundry for its customers. Strategy TSMC is confident that its differentiating strengths will enable it to prosper from the foundry segment’s many attractive growth opportunities. TSMC maintains its technology leadership by collaborating in the development process through early engagement and technology definition that provides a smooth transition for TSMC’s advanced technology customers. TSMC’s 20nm System-on-Chip technology (20SoC) entered the volume production stage with stable yield performance, while its 16nm FinFET Plus (16FF+) process started volume production in mid-2015. By leveraging the success of 16FF+, TSMC introduced a highly competitive cost-effective solution, 16nm FinFET Compact technology (16FFC), which started volume production in the first quarter of 2016. In addition, TSMC’s 10nm FinFET technology began customer product tape-out in the first quarter of 2016. Also, 7nm FinFET technology is under development with good progress. This technology is expected to start risk production in the first quarter of 2017. At the same time, the Company has maintained its leadership in specialty technologies by broadening its offerings and expanding their integration into more advanced process nodes. Numerous other efforts are underway to ensure manufacturing excellence through product grade enhancements and manufacturing technology innovation. On the advanced 3D IC packaging technology front, InFO PoP technology for advanced mobile products was successfully qualified in the fourth quarter of 2015 and is expected to start volume production in the first half of 2016. In addition, CoWoS-XL (CoWoS® technology that features extra-large interposer of >32mmx26mm in size) was successfully developed and qualified in 2015. This technology is expected to start volume production in the first half of 2016. To address challenges inherent in the electronic product life cycle and increased competition from other semiconductor manufacturing companies, TSMC continually strengthens its core competitiveness and deploys both short-term and long-term technology and business development plans to meet Return on Investment (ROI) and growth objectives. ● Short-term Semiconductor Business Development Plan 1. Substantially ramp the business and sustain advanced technology market share through increased capacity investment. 2. Maintain mainstream technology market share by expanding business to new customers and market segments with off-the-shelf technologies. 3. Further expand TSMC’s business and service infrastructure into emerging and developing markets. ● Long-term Semiconductor Business Development Plan 1. Continue developing leading-edge technologies consistent with Moore’s Law. 2. Broaden specialty business contributions by further developing derivative technologies. 3. Provide more integrated services, covering system-level integration design, design technology definition, design tool preparation, wafer processing, and backend services, all of which deliver more value to customers through optimized solutions. 010 011 2.3 Organization 2.3.1 Organization Chart Audit Committee Compensation Committee Shareholders’ Meeting Board of Directors, Chairman, Vice Chairman As of 02/29/2016 Internal Audit Co-CEO Office Finance and Spokesperson Legal Research and Development-Specialty, Operations, Human Resources Research and Development, Asia, Europe, North America, Business Development, Corporate Planning Organization, Quality and Reliability, Information Technology, Materials Management and Risk Management, Customer Service 2.3.2 Major Corporate Functions Operations ● Operations of all fabs including in Taiwan and overseas; product development, manufacturing technology development, and backend technology development and production Human Resources ● Human resources management and organizational development, as well as proprietary information protection and physical security management Research and Development ● Advanced and specialty technology development and exploratory research, as well as design and technology platform development Quality and Reliability ● Ensuring and managing the quality and reliability of the Company’s products Information Technology ● Integrating the Company’s technology and business IT systems; developing IT infrastructure, providing communication services and ensuring IT security and service quality Materials Management and Risk Management ● Procurement, warehousing, import and export, and logistics support; also environmental protection, industrial safety, occupational health, and risk management Customer Service ● Provides support and service for customers in Asia, Europe, Asia ● Sales, market development, field technical support and service and North America for customers in Asia Europe ● Technical marketing, field technical support and service for customers in Europe North America ● Sales, market development, field technical support and service for customers in North America Business Development ● Business development for electronic products, identification of new applications, development of markets for specialty technology, exploration and development of new markets, strengthening customer relations, as well as managing the Company’s brand Corporate Planning Organization ● Planning for operational resources, as well as for production and demand; the integration of business processes, corporate pricing, market analysis and forecasting Internal Audit ● Inspection and review of TSMC’s internal control system, its adequacy in design and effectiveness in operation with independent risk assessment to ensure compliance with TSMC’s policies and procedures as well as with external regulations Finance and Spokesperson ● Corporate finance, accounting and corporate communications; the head of the organization, also serves as company spokesperson Legal ● Corporate legal affairs, including litigation, commercial transactions, patents and management of other intellectual properties; and compliance with relevant domestic and international laws and regulations 012 013 2.4 Board Members 2.4.1 Information Regarding Board Members Title/Name Chairman Morris Chang Vice Chairman F.C. Tseng Nationality or Place of Registration Date Elected Term Expires Date First Elected Shareholding When Elected Current Shareholding Spouse & Minor Shareholding Shares % Shares % U.S.A. 06/09/2015 06/08/2018 12/10/1986 125,137,914 0.48% 125,137,914 0.48% Shares % 135,217 0.00% R.O.C. 06/09/2015 06/08/2018 05/13/1997 34,472,675 0.13% 34,472,675 0.13% 132,855 0.00% Director National Development Fund, Executive Yuan (Note 3) Representative: Johnsee Lee R.O.C. 08/06/2010 (Note 4) 06/09/2015 06/08/2018 12/10/1986 1,653,709,980 6.38% 1,653,709,980 6.38% - - - - - - - - - - - - - - Independent Director Sir Peter Leahy Bonfield UK 06/09/2015 06/08/2018 05/07/2002 Independent Director Stan Shih R.O.C. 06/09/2015 06/08/2018 04/14/2000 1,480,286 0.01% 1,480,286 0.01% 16,116 0.00% Selected Education, Past Positions & Current Positions at Non-profit Organizations Bachelor Degree in Mechanical Engineering, MIT Master Degree in Mechanical Engineering, MIT Ph.D. in Electrical Engineering, Stanford University Former Group Vice-President, Texas Instruments Inc. Former President & COO, General Instrument Corporation Former Chairman, Industrial Technology Research Institute Former CEO, TSMC Member of National Academy of Engineering Life Member Emeritus of MIT Corporation Fellow of the Computer History Museum Laureate of the Industrial Technology Research Institute Bachelor Degree in Electrical Engineering, National Chengkung University Master Degree in Electrical Engineering, National Chiao Tung University Ph.D. in Electrical Engineering, National Chengkung University Honorary Ph.D., National Chiao Tung University Former President, Vanguard International Semiconductor Corp. Former President, TSMC Former Deputy CEO, TSMC Chairman, TSMC Education and Culture Foundation Director, National Culture and Arts Foundation, R.O.C. Ph.D. in Chemical Engineering, Illinois Institute of Technology MBA, University of Chicago Graduate of Harvard Business School’s Advanced Management Program Former Principal Investigator, Argonne National Laboratory Former Senior Manager, Johnson Matthey Inc. Former President, Industrial Technology Research Institute Former Chairman, Development Center for Biotechnology Managing Director, Development Center for Biotechnology Honorary Chairman, Taiwan Bio Industry Organization Bachelor Degree in Engineering, Loughborough University Honours Degree in Engineering, Loughborough University Former Chairman and CEO, ICL Plc Former CEO and Chairman of the Executive Committee, British Telecommunications Plc Former Vice President, the British Quality Foundation Fellow of the Royal Academy of Engineering Chair of Council and Senior Pro-Chancellor, Loughborough University, UK BSEE, National Chiao Tung University MSEE, National Chiao Tung University Honorary EE Ph.D., National Chiao Tung University Honorary Doctor of Technology, The Hong Kong Polytechnic University Honorary Fellowship, University of Wales, Cardiff, UK Honorary Doctor of International Law, Thunderbird, American Graduate School of International Management, U.S.A. Co-Founder, Chairman Emeritus, Acer Group Former Chairman & CEO, Acer Group Chairman, National Culture and Arts Foundation, R.O.C. Director, Public Television Service Foundation, R.O.C. Council member of Asian Corporate Governance Associate (ACGA) Chairman of Stans Foundation As of 02/29/2016 Selected Current Positions at TSMC and Other Companies None Chairman of: - TSMC China Company Ltd. - Global UniChip Corp. Vice Chairman, Vanguard International Semiconductor Corp. Director of: - TSMC Solid State Lighting Ltd. (as of 03/18/2015) (Note 1) - TSMC Solar Ltd. (as of 12/14/2015) (Note 2) Independent Director, Chairman of Audit Committee & Compensation Committee member, Acer Inc. CEO, Personal Genomics, Inc. Independent Director of: - Far Eastern New Century Corp. - Zhen Ding Technology Holding Ltd. - Everlight Electronics Co., Ltd. - San Fu Chemical Co., Ltd. Chairman of: - NXP Semiconductors N.V., the Netherlands - Global Logic Inc., U.S.A. Director, Mentor Graphics Corporation Inc., Oregon, U.S.A. Member, The Longreach Group Advisory Board Board Mentor, CMi Senior Advisor to : - Rothschild, London - Alix Partners, London - G3 Good Governance Group, London. Director & Honorary Chairman, Acer Inc. Director of: - Qisda Corp. - Wistron Corp. - Nan Shan Life Insurance Co., Ltd. - Egis Technology Inc. - Digitimes Inc. Independent Director Thomas J. Engibous U.S.A. 06/09/2015 06/08/2018 06/10/2009 - - - - - - Bachelor Degree in Electrical Engineering, Purdue University Master Degree in Electrical Engineering, Purdue University Honorary Doctorate in Engineering, Purdue University Lead Director, J.C. Penny Company, Inc. 014 Former Executive Vice President and President of the Semiconductor Group, Texas Instruments Inc. Former President and CEO, Texas Instruments Inc. Former Chairman of the Board, Texas Instruments Inc. Former Chairman of the Board of Catalyst Member of National Academy of Engineering Member of Texas Business Hall of Fame Honorary Director of Catalyst Honorary Trustee, Southwestern Medical Foundation (Continued) 015 Title/Name Independent Director Gregory C. Chow (Note 5) Nationality or Place of Registration Date Elected Term Expires Date First Elected U.S.A. 06/12/2012 06/09/2015 06/09/2011 Shareholding When Elected Current Shareholding Spouse & Minor Shareholding Shares - % - Shares - % - Shares - % - Independent Director Kok-Choo Chen R.O.C. 06/09/2015 06/08/2018 06/09/2011 - - - - 5,120 0.00% Selected Education, Past Positions & Current Positions at Non-profit Organizations Bachelor Degree in Economics, Cornell University, Master Degree in Economics, The University of Chicago, Ph.D. in Economics, The University of Chicago, Academician, Academia Sinica, R.O.C. Member, American Philosophical Society Fellow of the American Statistical Association Fellow of the Econometric Society Former President, Society of Economic Dynamics and Control Honorary Doctor’s, Sun Yat-Sen University L.L.D., Lingnan University Hon. Dr. of Business Adm, The University of Hong Kong of Science and Technology Honorary Professor of Fudan, Guangxi, Hainan, Nankai, Shandong, Remin, Huazhong University of Science and Technology, Graduate University of Management of Chinese Academy of Sciences, Sun Yat-Sen Universities and City University of Hong Kong Assistant Professor, MIT., 1955-1959 Associate Professor, Cornell University, 1959-1962 Research Staff Member and Manager of Economics Research, IBM Thomas Watson Research Center, 1962-1970 Adjunct Professor, Columbia University, 1964-1970 Professor and Director, Econometric Research Program, Princeton University, 1970-2001 (In 2001 Princeton University renamed the Program the Gregory C. Chow Econometric Research Program in his honor.) Class of 1913 Professor of Political Economy, Princeton University, 1976-2001 Chairman of the American Economic Association’s Committee on Exchanges in Economics with the People’s Republic of China, 1981-1994 Co-chairman of the U.S. Committee on Economics Education and Research in China, 1985-1994 Advisor to Prime Ministers and Chairmen of the Economic Planning and Development Council of the Executive Yuan in Taiwan on economic policy from the mid 1960’s to the early 1980’s Advisor to the Prime Minister and the State Commission for Restructuring the Economic System on economic reform in China, 1985-1989 Professor of Economics and Class of 1913 Professor of Political Economy, Emeritus, Princeton University, 2001-Present Inns of Court School of Law, England Barrister-at-law, England Advocate & Solicitor, Singapore Attorney-at-law, California, U.S.A. Lawyer, Tan, Rajah & Cheah, Singapore, 1969-1970 Lawyer, Sullivan & Cromwell, New York, U.S.A., 1971-1974 Lawyer, Heller, Erhman, White & McAuliffe, San Francisco, California, U.S.A., 1974-1975 Partner, Ding & Ding Law Offices, Taiwan, 1975-1988 Partner, Chen & Associates Law Offices, Taiwan, 1988-1992 Former Vice-President, Echo Publishing, Taiwan, 1992-1995 Former President, National Culture & Arts Foundation, R.O.C., 1995-1997 Former Senior Vice-President & General Counsel, TSMC, 1997-2001 Founder & Executive Director of Taipei Story House, 2003-2015 Lecturer, Nanyang University, Singapore, 1970 ~ 1971 Associate Professor, Soochow University, 1981 ~ 1998 Chair Professor, National Tsing Hua University, 1999 ~ 2002 Professor, National Chengchi University, 2001 ~ 2004 Professor, Soochow University, 2001 ~ 2008 Chairman, National Performing Arts Center Advisor, Executive Yuan, R.O.C. Director, National Culture and Arts Foundation, R.O.C. Director, Republic of China Female Cancer Foundation Independent Director Michael R. Splinter (Note 6) U.S.A. 06/09/2015 06/08/2018 06/09/2015 - - - - - - Bachelor and Master Degrees in Electrical Engineering, University of Wisconsin Madison Honorary Ph.D, in Engineering, University of Wisconsin Madison Former Executive Vice President of Technology and Manufacturing group, Intel Corporation Former Executive Vice President of Sales and Marketing, Intel Corporation Former CEO, Applied Materials, Inc., Former Chairman, Applied Materials, Inc., Director, Silicon Valley Leadership Group Director, Semiconductor Equipment and Materials International (SEMI) Director, University of Wisconsin Foundation Remarks: 1. No member of the Board of Directors held TSMC shares by nominee arrangement. 2. No member of the Board of Directors had a spouse or relative within two degrees of consanguinity serving as a manager or director at TSMC. Note 1: On January 9, 2015, the Board of Directors of TSMC approved to sell all shares of TSMC Solid Sate Lighting (TSMC SSL) held by TSMC and TSMC’s subsidiary to Epistar Corporation. After the transaction, TSMC completely exited TSMC SSL. Dr. F.C. Tseng stopped his directorship as of March 18, 2015. Note 2: TSMC Solar ceased manufacturing operations in August 2015. On November 10, 2015, TSMC’s Board of Directors approved merging TSMC Solar into TSMC, with the latter as the surviving entity. The merger record date was December 14, 2015. Note 3: Major Shareholder of TSMC’s Director that is an Institutional Shareholder. Director that is an Institutional Shareholder of TSMC National Development Fund, Executive Yuan Top 10 Shareholders Not Applicable Major Institutional shareholders of National Development Fund: Not Applicable. Note 4: Mr. Johnsee Lee was appointed as the representative of National Development Fund on August 6, 2010. Note 5: Professor Dr. Gregory C. Chow’s tenure expired on June 9, 2015 because he was not re-elected at the 2015 Annual Shareholders’ Meeting. Note 6: Mr. Michael R. Splinter was elected as TSMC’s independent director at TSMC’s Annual Shareholder’s Meeting on June 9, 2015. Selected Current Positions at TSMC and Other Companies None None Director of: - The NASDAQ OMX Group, Inc. - Pica8, Inc. General Partner, WISC Partners LP 016 017 2.4.2 Remuneration Paid to Directors (Note 1) Unit: NT$ thousands Base Compensation (A) Severance Pay and Pensions (B) (Note 4) Compensation to Directors (C) Allowances (D) (Note 5) Director’s Remuneration Total Remuneration (A+B+C+D) as a % of 2015 Net Income Compensation Earned by a Director Who is an Employee of TSMC or of TSMC’s Consolidated Entities Base Compensation, Bonuses, and Allowances (E) Severance Pay and Pensions (F) (Note 4) Employees’ Profit Sharing Bonus (G) Exercisable Employee Stock Options (H) (Note 6) Granted Employee Restricted Stock (I) (Note 7) Total Compensation (A+B+C+D+E+F+G) as a % of 2015 Net Income (Note 8) From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities Cash Stock (Fair Market Value) Cash Stock (Fair Market Value) From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities Compensation Paid to Directors from Non- consolidated Affiliates (J) 33,718 33,718 895 895 356,186 356,186 3,669 3,669 0.13% 0.13% - - - - - - - - - - - - 0.13% 0.13% 2,968 Title/Name Chairman Morris Chang Vice Chairman F.C. Tseng Independent Director Sir Peter Leahy Bonfield Independent Director Stan Shih Independent Director Thomas J. Engibous Independent Director Gregory C. Chow (Note 2) Independent Director Kok-Choo Chen Independent Director Michael R. Splinter (Note 3) Director National Development Fund, Executive Yuan Representative: Johnsee Lee Note 1: Remuneration policies, standards/packages, procedures, the linkage to operating performance and future risk exposure: The base compensation for the Chairman, Vice-Chairman and directors are determined in accordance with the procedures set forth in TSMC’s Articles of Incorporation. The Articles of Incorporation also provides that the compensation to directors shall be no more than 0.3% of annual profits and directors who also serve as executive officers of TSMC are not entitled to receive compensation to directors. The distribution of compensation to directors shall be made in accordance with TSMC’s “Rules for Distribution of Compensation to Directors”. Note 2: Professor Dr. Gregory C. Chow’s tenure expired on June 9, 2015 because he was not re-elected at the 2015 Annual Shareholders’ Meeting. Note 3: Mr. Michael R. Splinter was elected as TSMC’s independent director at TSMC’s Annual Shareholders’ Meeting on June 9, 2015. Note 4: Pensions funded/paid according to applicable law. Note 5: The above-mentioned figures include expenses for Company cars and gasoline reimbursement, but do not include compensation paid to Company drivers (totaled NT$4,621 thousand). Note 6: Represents the number of employee stock options exercisable as of the date of this Annual Report. Note 7: TSMC did not issue employee restricted stock in 2015, and as of the date of this Annual Report. Note 8: Total remuneration and compensation of TSMC and all consolidated entities paid to TSMC’s directors in 2014 were NT$444,110 thousand and NT$478,063 thousand respectively, accounting for 0.17% and 0.18% of 2014 net income respectively. Remuneration Paid to Directors Total Remuneration (A+B+C+D) Total Compensation (A+B+C+D+E+F+G+J) From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities and Non-consolidated Affiliates 2015 NT$0 ~ NT$2,000,000 NT$2,000,000 ~ NT$4,999,999 NT$5,000,000 ~ NT$9,999,999 None None None None National Development Fund, Executive Yuan, Gregory C. Chow, Michael R. Splinter National Development Fund, Executive Yuan, Gregory C. Chow, Michael R. Splinter NT$10,000,000 ~ NT$14,999,999 Sir Peter Leahy Bonfield, Stan Shih, Thomas J. Engibous, Kok-Choo Chen Sir Peter Leahy Bonfield, Stan Shih, Thomas J. Engibous, Kok-Choo Chen NT$15,000,000 ~ NT$29,999,999 F.C. Tseng NT$30,000,000 ~ NT$49,999,999 NT$50,000,000 ~ NT$99,999,999 Over NT$100,000,000 Total None None Morris Chang 9 F.C. Tseng None None Morris Chang 9 018 019 2.5 Management Team 2.5.1 Information Regarding Management Team Title Name (Note 1) President and Co-Chief Executive Officer Mark Liu President and Co-Chief Executive Officer C.C. Wei Senior Vice President and Chief Information Officer Information Technology, Materials Management and Risk Management Stephen T. Tso Senior Vice President, Chief Financial Officer and Spokesperson Finance Lora Ho Senior Vice President Research and Development Wei-Jen Lo (Note 3) Senior Vice President of TSMC and President of TSMC North America Rick Cassidy (Note 3) Vice President Operations/Affiliate Fabs M.C. Tzeng Vice President and Chief Technology Officer Research and Development Jack Sun Vice President Operations/Product Development Y.P. Chin Vice President Quality and Reliability N.S. Tsai Vice President Operations/Mainstream Fabs and Manufacturing Technology J.K. Lin Vice President Operations/300mm Fabs J.K. Wang Vice President Corporate Planning Organization Irene Sun Vice President Research and Development Y.J. Mii Nationality On-board Date (Note 2) Shareholding Spouse & Minor Shareholding % Shareholding R.O.C. 11/15/1993 12,977,114 0.05% - % - R.O.C. 02/01/1998 7,179,207 0.03% 261 0.00% R.O.C. 12/16/1996 13,217,064 0.05% - - R.O.C. 06/01/1999 4,481,080 0.02% 2,230,268 0.01% R.O.C. 07/01/2004 1,468,127 0.01% U.S.A. 11/14/1997 - - R.O.C. 01/01/1987 7,592,595 0.03% R.O.C. 06/02/1997 4,195,831 0.02% - - - - - - - - R.O.C. 01/01/1987 7,150,122 0.03% 2,194,107 0.01% R.O.C. 03/01/2000 2,033,180 0.01% 1,103,253 0.00% R.O.C. 01/01/1987 12,498,018 0.05% 1,178,036 0.00% R.O.C. 02/11/1987 2,553,947 0.01% 160,844 0.00% R.O.C. 10/01/2003 800,709420,709 0.00% R.O.C. 11/14/1994 1,000,419 0.00% -- - -- - TSMC Shareholding by Nominee Arrangement Shareholding - - - - - - - - - - - - - - % - - - - - - - - - - - - - - 020 As of 02/29/2016 Managers Who are Spouses or within Second-degree Relative of Consanguinity to Each Other Title None Name None Relation None None None None Director, TSMC subsidiary None None None Director and/or Supervisor, TSMC subsidiaries Director, TSMC affiliates President, TSMC subsidiaries None None None None None None None Director, TSMC North America None None None Director, TSMC subsidiaries Director, TSMC affiliate Deputy Director M.J. Tzeng Siblings Education & Selected Past Positions Selected Current Positions at Other Companies Ph.D., Electrical Engineering & Computer Science, University of California, Berkeley, U.S. Executive Vice President and Co-Chief Operating Officer, TSMC Senior Vice President, Operations, TSMC Senior Vice President, Advanced Technology Business, TSMC President, Worldwide Semiconductor Manufacturing Corp. Ph.D., Electrical Engineering, Yale University, U.S. Executive Vice President and Co-Chief Operating Officer, TSMC Senior Vice President, Business Development, TSMC Senior Vice President, Mainstream Technology Business, TSMC Senior Vice President, Chartered Semiconductor Manufacturing Ltd. Ph.D., Materials Science & Engineering, University of California, Berkeley, U.S. President, WaferTech, LLC Senior Vice President, Operations, TSMC General Manager of CVD Products, Applied Material Master, Business Administration, National Taiwan University, Taiwan Senior Director, Accounting, TSMC Vice President & CFO, TI-Acer Semiconductor Manufacturing Corp. Ph.D., Solid State Physics and Surface Chemistry, University of California, Berkeley, U.S. Vice President, Research and Development, TSMC Vice President, Operations/ Manufacturing Technology, TSMC Vice President, Advanced Technology Business, TSMC Vice President, Operation II, TSMC Director, Advanced Technology Development and CTM Plant Manager, Intel Bachelor, Engineering Technology, United States Military Academy at West Point, U.S. Vice President of TSMC North America Account Management Master, Applied Chemistry, Chungyuan University, Taiwan Vice President, Mainstream Technology Business, TSMC Senior Director, Fab 2 Operation, TSMC Ph.D., Electrical Engineering, University of Illinois at Urbana-Champaign, U.S. Vice President, Research and Development, TSMC Senior Director, Logic Technology Division, TSMC Senior Manager of R&D, International Business Machines (IBM) Master, Electrical Engineering, National Cheng Kung University, Taiwan Vice President, Advanced Technology and Business, TSMC Senior Director, Product Engineering & Services, TSMC Ph.D., Material Science, Massachusetts Institute of Technology, U.S. Senior Director, Assembly Test Technology & Service, TSMC Vice President, Operations, Vanguard International Semiconductor Corp. None None None None None Bachelor, Science, National Changhua University of Education, Taiwan Senior Director, Mainstream Fabs, TSMC Director, TSMC subsidiaries Director, TSMC affiliate Master, Chemical Engineering, National Cheng Kung University, Taiwan Senior Director, 300mm fab operations, TSMC Ph.D., Materials Science and Engineering, Cornell University, U.S. Senior Director, Corporate Planning Organization, TSMC Ph.D., Electrical Engineering, University of California, Los Angeles, U.S. Senior Director, R&D Platform I Division, TSMC None None None None None None None None None None None None None None None Technical Manager J.J. Wang Siblings None None None None None None (Continued) 021 Title Name (Note 1) Vice President Research and Development Cliff Hou Vice President Business Development Been-Jon Woo Vice President and General Counsel Legal Sylvia Fang Vice President Human Resources Connie Ma Vice President Research and Development Y.L. Wang (Note 3) Nationality On-board Date (Note 2) Shareholding Spouse & Minor TSMC Shareholding by Nominee Arrangement Shareholding % Shareholding % Shareholding R.O.C. 12/15/1997 352,532 0.00% 60,802 0.00% R.O.C. 04/30/2009 265,000 0.00% 50,000 0.00% - - % - - R.O.C. 03/20/1995 700,285 0.00% 419,112 0.00% 34,000 0.00% R.O.C. 06/01/2014 50,000 0.00% - - R.O.C. 06/01/1992 218,535 0.00% 1,135,529 0.00% - - - - Note 1: Vice President Dr. Burn J. Lin retired, effective November 1, 2015. Note 2: On-board date means the official date joining TSMC. Note 3: Dr. Y.L. Wang was promoted to Vice President, effective November 10, 2015. Education & Selected Past Positions Ph.D., Electrical Engineering, Syracuse University, U.S. Senior Director, Design and Technology Platform, TSMC Ph.D., Chemistry, University of Southern California, U.S. Director of Business Development, TSMC Vice President of R&D, Grace Semiconductor Manufacturing Corp. Director of Technology Integration, Intel Corp. Master of Comparative Law, School of Law, University of Iowa Associate General Counsel, TSMC Taiwan International Patent and Law Office (TIPLO) EMBA, International Business Management, National Taiwan University Director of Human Resources, TSMC Senior Vice President of Global Human Resources, Trend Micro Inc. Ph.D., Electrical Engineering, National Chiao Tung University, Taiwan Vice President, Fab 14B operations, TSMC Senior Director, Fab 14B operations, TSMC Selected Current Positions at Other Companies Managers Who are Spouses or within Second-degree Relative of Consanguinity to Each Other Director, TSMC subsidiaries Director, TSMC affiliate President, TSMC subsidiaries None Director, TSMC subsidiaries Director, TSMC affiliate None None Title None None None None None Name None Relation None None None None None None None None None 022 023 2.5.2 Compensation Paid to CEO, President, and Vice Presidents (Note 1) Unit: NT$ thousands Salary (A) Severance Pay and Pensions (B) (Note 4) Bonuses and Allowances (C) (Note 5) From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities Employees’ Profit Sharing Bonus (D) Total Compensation as a % of 2015 Net Income (A+B+C+D) (Note 6) Exercisable Employee Stock Options (K shares) (Note 7) Exercisable Employee Restricted Stock (K shares) (Note 8) From TSMC From All Consolidated Entities Cash Stock (Fair Market Value) Cash Stock (Fair Market Value) From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities From TSMC From All Consolidated Entities Compensation Received from Non-consolidated Affiliates 83,158 97,577 9,673 10,031 671,432 741,637 642,284 - 642,284 - 0.46% 0.49% - - - - 280 Title Name President and Co-Chief Executive Officer President and Co-Chief Executive Officer Senior Vice President and Chief Information Officer Information Technology, Materials Management and Risk Management Senior Vice President, Chief Financial Officer and Spokesperson Finance Senior Vice President Research and Development Senior Vice President of TSMC and President of TSMC North America Vice President Operations/Affiliate Fabs Vice President and Chief Technology Officer Research and Development Vice President Operations/Product Development Vice President Quality and Reliability Vice President Operations/Mainstream Fabs and Manufacturing Technology Vice President Operations/300mm Fabs Vice President Corporate Planning Organization Vice President Research and Development Vice President Research and Development Vice President Research and Development Vice President Business Development Vice President and General Counsel Legal Vice President Human Resources Vice President Research and Development Mark Liu C.C. Wei Stephen T. Tso Lora Ho Wei-Jen Lo Rick Cassidy M.C. Tzeng Jack Sun Y.P. Chin N.S. Tsai J.K. Lin J.K. Wang Irene Sun Burn J. Lin (Note 2) Y.J. Mii Cliff Hou Been-Jon Woo Sylvia Fang Connie Ma Y.L. Wang (Note 3) Note 1: Compensation policy, standards/packages, procedures, the linkage to operating performance and future risk exposure: The total compensation paid to Chief Executive Officer and each executive officer are also reviewed by the Compensation Committee individually based on their job responsibility, contribution, and projected future risks the Company will face before the total compensation proposals are submitted to the Board of Directors for approval. Note 2: Vice President Dr. Burn J. Lin retired, effective November 1, 2015. Note 3: Dr. Y.L. Wang was promoted to Vice President, effective November 10, 2015. Note 4: Pensions funded/paid according to applicable law. Note 5: The above-mentioned figures include the expense for the employees’ cash bonuses distributed in June, August, November 2015 and February 2016, Company cars and gasoline reimbursement, but does not include compensation paid to Company drivers (totaled NT$3,321 thousand). Note 6: Total compensation of TSMC and all consolidated entities paid to TSMC’s Chief Executive Officer and Executive Officers in 2014 were NT$1,283,465 thousand and NT$1,348,861 thousand respectively, accounting for 0.49% and 0.51% of 2014 net income respectively. Note 7: Represents the number of employee stock options exercisable as of the date of this Annual Report. Note 8: TSMC did not issue employee restricted stock in 2015, and as of the date of this Annual Report. Compensation Paid to CEO, President and Vice Presidents NT$0 ~ NT$2,000,000 NT$2,000,000 ~ NT$4,999,999 NT$5,000,000 ~ NT$9,999,999 NT$10,000,000 ~ NT$14,999,999 NT$15,000,000 ~ NT$29,999,999 NT$30,000,000 ~ NT$49,999,999 NT$50,000,000 ~ NT$99,999,999 From TSMC Rick Cassidy None Y.L. Wang None None 2015 From All Consolidated Entities and Non-consolidated Affiliates None None Y.L. Wang None None Burn J. LIN, N.S. Tsai, J.K. Lin, Been-Jon Woo, J.K. Wang, Irene Sun, Sylvia Fang, Connie Ma Lora Ho, Jack Sun, M.C. Tzeng, Y.P. Chin, Y.J. Mii, Cliff Hou Burn J. LIN, N.S. Tsai, J.K. Lin, Been-Jon Woo, J.K. Wang, Irene Sun, Sylvia Fang, Connie Ma Lora Ho, Rick Cassidy, Jack Sun, M.C. Tzeng, Y.P. Chin, Y.J. Mii, Cliff Hou Over NT$100,000,000 Mark Liu, C.C. Wei, Stephen T. Tso, Wei-Jen Lo Mark Liu, C.C. Wei, Stephen T. Tso, Wei-Jen Lo Total 20 20 024 025 2.5.3 Employees’ Profit Sharing Bonus Paid to Management Team Unit: NT$ thousands Title President and Co-Chief Executive Officer President and Co-Chief Executive Officer Senior Vice President and Chief Information Officer Information Technology, Materials Management and Risk Management Senior Vice President, Chief Financial Officer and Spokesperson Finance Senior Vice President Research and Development Senior Vice President of TSMC and President of TSMC North America Vice President Operations/Affiliate Fabs Vice President and Chief Technology Officer Research and Development Vice President Operations/Product Development Vice President Quality and Reliability Vice President Operations/Mainstream Fabs and Manufacturing Technology Vice President Operations/300mm Fabs Vice President Corporate Planning Organization Vice President Research and Development Vice President Research and Development Vice President Research and Development Vice President Business Development Vice President and General Counsel Legal Vice President Human Resources Vice President Research and Development Note 1: Vice President Dr. Burn J. Lin retired, effective November 1, 2015. Note 2: Dr. Y.L. Wang was promoted to Vice President, effective November 10, 2015. Name Mark Liu C.C. Wei Stephen T. Tso Lora Ho Wei-Jen Lo Rick Cassidy M.C. Tzeng Jack Sun Y.P. Chin N.S. Tsai J.K. Lin J.K. Wang Irene Sun Burn J. Lin (Note 1) Y.J. Mii Cliff Hou Been-Jon Woo Sylvia Fang Connie Ma Y.L. Wang (Note 2) Stock (Fair Market Value) Cash Total Employees’ Profit Sharing Bonus Total Employees’ Profit Sharing Bonus Paid to Management Team as a % of 2015 Net Income - 642,284 642,284 0.21% 026 027 3. Corporate Governance 3.1 Overview TSMC advocates and acts upon the principles of operational transparency and respect for shareholder rights. We believe that the basis for successful corporate governance is a sound and effective Board of Directors. In line with this principle, the TSMC Board delegates various responsibilities and authority to two Board Committees, Audit Committee and Compensation Committee. Each Committee has a written charter approved by the Board. Each Committee’s chairperson regularly reports to the Board on the activities and actions of the relevant committee. The Audit Committee and Compensation Committee consist solely of independent directors. 2015 Corporate Governance Awards Organization Dow Jones Sustainability Indices (DJSI) FinanceAsia IR Magazine Fortune Magazine Barron’s MSCI Global Sustainability Index Series CommonWealth Magazine GlobalViews Magazine Taiwan Institute for Sustainable Energy R.O.C. Security & Futures Institute Taiwan Stock Exchange Awards DJSI Semiconductor and Semiconductor Equipment “Industry Group Leader” RobecoSAM Sustainability Award “Gold Class” RobecoSAM Sustainability Award: Industry Leader Best Corporate Governance – Rank No. 3 in Taiwan Best Managed Public Company – Rank No. 2 in Taiwan Most committed to a Strong Dividend Policy – Rank No. 2 in Taiwan Grand Prix for best overall investor relations (Large cap) Best in Sector – Technology Best IR by a Taiwanese Company Selected as one of The World’s Most Admired Companies Selected as Top 100 World’s Most Respected Companies Selected as MSCI Global Sustainability Index component Excellence in Corporate Social Responsibility Award – Large cap – 1st Place Corporate Social Responsibility Award: Technology and Traditional Industry Group – Model Award Public Welfare Promoting – Model Award Taiwan Corporate Sustainability Awards: Taiwan Top 50 Corporate Responsibility Report Awards – Electronics Industry – Gold Class Sustainable Water Management Award 12th Information Disclosure of Public Companies Ranking – Ranked A++ Ranked in top 5% in First Corporate Governance Evaluation of Listed Companies 028 028 029 029 The third duty of the Board of Directors is to evaluate the management’s performance and to dismiss officers of the Company when necessary. TSMC’s management has maintained a healthy and functional communication with the Board of Directors, has been devoted in executing guidance of the Board, and is dedicated in running the business operations, all to achieve the best interests for TSMC shareholders. Election of Directors Directors shall be elected pursuant to the candidates nomination system as specified in Article 192-1 of the R.O.C. “Company Law”. The tenure of office for Directors shall be three years. Our Board members are nominated through a highly selective process that considers not only their respective professional technical competence but also their respective reputation for ethical behavior and leadership. The independence of each independent director candidate is also considered and assessed under relevant law such as the Taiwan “Regulations Governing Appointment of Independent Directors and Compliance Matters for Public Companies”. Under R.O.C. law, in which TSMC was incorporated, any shareholders holding one percent or more of our total outstanding common shares may nominate their own candidate to stand for election as a Board member. This democratic mechanism allows our shareholders to become involved in the selection and nomination process of Board candidates. The final slate of candidates are put to the shareholders for voting at the relevant annual shareholders’ meeting. Directors’ Compensation According to our Articles of Incorporation, not more than 0.3 percent of our annual profits (defined under local law) after recovering any losses incurred in prior years, if any, may be distributed as compensation to our directors. In addition, directors who also serve as executive officers of the Company are not entitled to receive any director compensation. 3.2 Board of Directors Board Structure TSMC’s 13th Board of Directors was elected at TSMC’s 2015 Annual Shareholders’ Meeting on June 9, 2015. TSMC’s Board of Directors consists of eight distinguished members with a great breadth of experience as world-class business leaders or scholars. We rely on them for their diverse knowledge, personal perspectives, and solid business judgment. Five of the eight members are independent directors: former British Telecommunications Chief Executive Officer, Sir Peter Bonfield; Co-Founder, Chairman Emeritus of the Acer Group, Mr. Stan Shih; former Texas Instruments Inc. Chairman of the Board, Mr. Thomas J. Engibous; Chairman of National Performing Arts Center and Advisor to the Taiwan Executive Yuan, Ms. Kok-Choo Chen; and former Chairman of Applied Materials, Inc., Mr. Michael R. Splinter. One of the members of the Board Directors is female. The number of Independent Directors is more than 50% of the total number of Directors. Board Responsibilities Under the leadership of Chairman Morris Chang, TSMC’s Board of Directors takes a serious and forthright approach to its duties and is a dedicated, competent and independent Board. In the spirit of Chairman Chang’s approach to corporate governance, a board of directors’ primary duty is to supervise. The Board should supervise the Company’s: compliance with relevant laws and regulations, financial transparency, timely disclosure of material information, and maintaining of the highest integrity within the Company. TSMC’s Board of Directors strives to perform these responsibilities through the Audit Committee and the Compensation Committee, the hiring of a financial expert for the Audit Committee, and coordination with the Internal Audit department. The second duty of the Board of Directors is to provide guidance to the management team of the Company. Quarterly, TSMC’s management reports to the Board on a variety of subjects. The management also reviews the Company’s business strategies with the Board and updates TSMC’s Board on the progress of those strategies, obtaining Board guidance as appropriate. Directors’ Professional Qualifications and Independent Analysis According to the relevant requirements set by Taiwan’s Securities and Futures Bureau, the professional qualifications and independence status of the Company’s Board members are listed in the table below. Meet the Following Professional Qualification Requirements, Together with at Least Five Years Work Experience Criteria (Note 1) An Instructor or Higher Position in a Department of Commerce, Law, Finance, Accounting, or Other Academic Department Related to the Business Needs of the Company in a Public or Private Junior College, College or University A Judge, Public Prosecutor, Attorney, Certified Public Accountant, or Other Professional or Technical Specialists Who Has Passed a National Examination and Been Awarded a Certificate in a Profession Necessary for the Business of the Company Have Work Experience in the Area of Commerce, Law, Finance, or Accounting, or Otherwise Necessary for the Business of the Company 1 2 3 4 5 6 7 8 9 10 Number of Other Taiwanese Public Companies Concurrently Serving as an Independent Director ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ - 1 4 - - - - - - Name/Criteria Morris Chang Chairman F.C. Tseng Vice Chairman Johnsee Lee Director Sir Peter Leahy Bonfield Independent Director Stan Shih Independent Director Thomas J. Engibous Independent Director Gregory C. Chow Independent Director (Note 2) Kok-Choo Chen Independent Director Michael R. Splinter Independent Director (Note 3) Note 1: Directors, during the two years before being elected and during the term of office, meet any of the following situations, please tick the appropriate corresponding boxes: 1. Not an employee of the company or any of its affiliates; 2. Not a director or supervisor of the company or any of its affiliates. The same does not apply, however, in cases where the person is an independent director of the company, its parent company, or any subsidiary in which the company holds, directly or indirectly, more than 50 percent of the voting shares; 3. Not a natural-person shareholder who holds shares, together with those held by the person’s spouse, minor children, or held by the person under others’ names, in an aggregate amount of one percent or more of the total number of issued shares of the company or ranks as one of its top ten shareholders; 4. Not a spouse, relative within the second degree of kinship, or lineal relative within the third degree of kinship, of any of the above persons in the preceding three subparagraphs; 5. Not a director, supervisor, or employee of a corporate/institutional shareholder that directly holds five percent or more of the total number of issued shares of the company or ranks as of its top five shareholders; 6. Not a director, supervisor, officer, or shareholder holding five percent or more of the shares of a specified company or institution that has a financial or business relationship with the company; 7. Not a professional individual who, or an owner, partner, director, supervisor, or officer of a sole proprietorship, partnership, company, or institution that, provides commercial, legal, financial, accounting services or consultation to the company or to any affiliate of the company, or a spouse thereof, provided that this restriction does not apply to any member of the compensation committee who exercises powers pursuant to Article 7 of the “Regulations Governing the Establishment and Exercise of Powers of Compensation Committees of Companies whose Stock is Listed on the TWSE or Traded on the GTSM”; 8. Not having a marital relationship, or a relative within the second degree of kinship to any other director of the company; 9. Not been a person of any conditions defined in Article 30 of the Company Law; and 10. Not a governmental, juridical person or its representative as defined in Article 27 of the Company Law. Note 2: Professor Gregory C. Chow’s tenure expired on June 9, 2015 because he was not re-elected at the 2015 Annual Shareholders’ Meeting. Note 3: Mr. Michael R. Splinter was elected as TSMC’s independent director at the 2015 Annual Shareholders’ Meeting on June 9, 2015. 030 031 3.2.1 Audit Committee The Audit Committee assists the Board in fulfilling its oversight of the quality and integrity of the accounting, auditing, reporting, and financial control practices of the Company. The Audit Committee is responsible to review the following major matters: ● Financial reports; ● Auditing and accounting policies and procedures; ● Internal control systems; ● Material asset or derivatives transactions; ● Material lending funds, endorsements or guarantees; ● Offering or issuance of any equity-type securities; ● Legal compliance; ● Related-party transactions and potential conflicts of interests involving executive officers and directors; ● Ombudsman reports; ● Potential fraud investigation reports; ● Corporate risk management; ● Hiring or dismissal of an attesting CPA, or the compensation given thereto; and ● Appointment or discharge of financial, accounting, or internal auditing officers, etc. Under R.O.C. law, the membership of Audit Committee shall consist of all independent Directors. TSMC’s Audit Committee satisfies this statutory requirement. The Committee also engaged a financial expert consultant in accordance with the rules of the U.S. Securities and Exchange Commission. The Audit Committee annually conducts self-evaluation to assess the Committee’s performance and identify areas for further attention. TSMC’s Audit Committee is empowered by its Charter to conduct any study or investigation it deems appropriate to fulfill its responsibilities. It has direct access to TSMC’s internal auditors, the Company’s independent auditors, and all employees of the Company. The Committee is authorized to retain and oversee special legal, accounting, or other consultants as it deems appropriate to fulfill its mandate. The Audit Committee Charter is available on TSMC’s corporate website. 3.2.2 Compensation Committee The Compensation Committee assists the Board in discharging its responsibilities related to TSMC’s compensation and benefits policies, plans and programs, and in the evaluation and compensation of TSMC’s directors of the Board and executives. The members of the Compensation Committee are appointed by the Board as required by R.O.C. law. According to TSMC’s Compensation Committee Charter, the Committee shall consist of no fewer than three independent directors of the Board. Currently, the Compensation Committee is comprised of all five independent directors; the Chairman of the Board, Dr. Morris Chang, is invited by the Committee to attend all meetings and is excused from the Committee’s discussion of his own compensation. TSMC’s Compensation Committee is authorized by its Charter to retain an independent consultant to assist in the evaluation of CEO, or executive officer compensation. The Compensation Committee Charter is available on TSMC’s corporate website. Compensation Committee Members’ Professional Qualifications and Independent Analysis According to the relevant requirements set by Taiwan’s Securities and Futures Bureau, the professional qualifications and independence status of the Company’s Compensation Committee members are listed in the table below. Meet the Following Professional Qualification Requirements, Together with at Least Five Years Work Experience Criteria (Note 1) An Instructor or Higher Position in a Department of Commerce, Law, Finance, Accounting, or Other Academic Department Related to the Business Needs of the Company in a Public or Private Junior College, College or University A Judge, Public Prosecutor, Attorney, Certified Public Accountant, or Other Professional or Technical Specialists Who Has Passed a National Examination and Been Awarded a Certificate in a Profession Necessary for the Business of the Company Have Work Experience in the Area of Commerce, Law, Finance, or Accounting, or Otherwise Necessary for the Business of the Company 1 2 3 4 5 6 7 8 Number of Other Taiwanese Public Companies Concurrently Serving as a Compensation Committee Member in Taiwan ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ ˇ - - - - - - Name Title/Criteria Stan Shih Independent Director Sir Peter Leahy Bonfield Independent Director Thomas J. Engibous Independent Director Gregory C. Chow Independent Director (Note 2) Kok-Choo Chen Independent Director Michael R. Splinter Independent Director (Note 3) Note 1: Compensation Committee Members, during the two years before being elected or during the term of office, meet any of the following situations, please tick the appropriate corresponding boxes: 1. Not an employee of the company or any of its affiliates; 2. Not a director or supervisor of the company or any of its affiliates. The same does not apply, however, in cases where the person is an independent director of the company, its parent company, or any subsidiary in which the company holds, directly or indirectly, more than 50 percent of the voting shares; 3. Not a natural-person shareholder who holds shares, together with those held by the person’s spouse, minor children, or held by the person under others’ names, in an aggregate amount of one percent or more of the total number of issued shares of the company or ranks as one of its top ten shareholders; 4. Not a spouse, relative within the second degree of kinship, or lineal relative within the third degree of kinship, of any of the above persons in the preceding three subparagraphs; 5. Not a director, supervisor, or employee of a corporate/institutional shareholder that directly holds five percent or more of the total number of issued shares of the company or ranks as of its top five shareholders; 6. Not a director, supervisor, officer, or shareholder holding five percent or more of the shares of a specified company or institution that has a financial or business relationship with the company; 7. Not a professional individual who, or an owner, partner, director, supervisor, or officer of a sole proprietorship, partnership, company, or institution that, provides commercial, legal, financial, accounting services or consultation to the company or to any affiliate of the company, or a spouse thereof; 8. Not been a person of any conditions defined in Article 30 of the Company Law. Note 2: Professor Gregory C. Chow’s tenure expired on June 9, 2015 because he was not re-elected at the 2015 Annual Shareholders’ Meeting. Note 3: Mr. Michael R. Splinter was elected as TSMC’s independent director at the 2015 Annual Shareholders’ Meeting on June 9, 2015. 3.2.3 Director and Committees Members’ Attendance Each Director is expected to attend every Board meeting and the committees meeting on which he or she serves. In 2015, the average Board Meeting attendance rate was 85% and the attendance rate for the Audit Committee and Compensation Committee’s Meetings were 85% and 100% respectively. 032 033 Board of Directors Meeting Status Dr. Morris Chang, the Chairman of the Board of Directors, convened four regular meetings and two special meetings in 2015. The directors’ attendance status is as follows. Compensation Committee Meeting Status Mr. Stan Shih, Chairman of the Compensation Committee, convened four regular meetings in 2015. The Committee members’ attendance status is as follows: Title Chairman Vice Chairman Director Name Morris Chang F.C. Tseng National Development Fund, Executive Yuan Representative: Johnsee Lee Independent Director Sir Peter Leahy Bonfield Independent Director Stan Shih Independent Director Thomas J. Engibous Independent Director Gregory C. Chow Independent Director Kok-Choo Chen Independent Director Michael R. Splinter Attendance in Person By Proxy Attendance Rate in Person (%) Notes 6 6 6 4 6 4 1 6 3 - - - 2 - 2 1 - - 100% Renewal of office (Note) 100% Renewal of office (Note) 100% Renewal of office (Note) 67% Renewal of office (Note) Sir Peter Bonfield participated in the discussion through telephone at 01/09 and 04/24 Special Meetings, represented by proxy. 100% Renewal of office (Note) 67% 33% Renewal of office (Note) Term expired (Professor Chow’s tenure expired on June 8, 2015 because he was not re-elected at the 2015 Annual Shareholders’ Meeting) 100% Renewal of office (Note) 100% New office assumed (Note) Annotations: 1. There were no written or otherwise recorded resolutions on which an independent director had a dissenting opinion or qualified opinion in 2015. 2. Recusals of Directors due to conflicts of interests in 2015: Directors recused themselves from the discussion and voting of their compensation resolution. 3. Measures taken to strengthen the functionality of the Board: -Five of the eight Directors are Independent Directors. The number of Independent Directors is more than 50% of the total number of Directors. -The Chairman and Vice Chairman of the Board of Directors are not executive officers of the Company. -TSMC Board delegates various responsibilities and authority to two Board Committees, Audit Committee and Compensation Committee. Both the two Committees consist solely of the five Independent Directors. Each Committee’s chairperson regularly reports to the Board on the activities and actions of the relevant committee. Note: TSMC’s 13th Board of Directors was elected at TSMC’s Annual Shareholders’ Meeting on June 9, 2015. Their respective tenures are from June 9 2015 to June 8, 2018. Audit Committee Meeting Status Sir Peter Bonfield, Chairman of the Audit Committee, convened four regular meetings and one special meeting in 2015. The Committee members and consultant’s attendance status is shown in the following table. In addition to these meetings, the Committee members and consultant participated in five telephone conferences to discuss the Company’s Annual Report to be filed with the Taiwan and U.S. authorities and investor conference materials with management. Title Chair Member Member Member Member Member Financial Expert Name Sir Peter Leahy Bonfield Stan Shih Thomas J. Engibous Gregory C. Chow Kok-Choo Chen Michael R. Splinter J.C. Lobbezoo Attendance in Person By Proxy Attendance Rate in Person (%) Notes 4 5 4 1 5 3 4 1 - 1 - - - - 80% Renewal of office (Note) Sir Peter Bonfield participated in the discussion through telephone at 01/09 Special Meeting, represented by proxy. 100% Renewal of office (Note) 80% 50% Renewal of office (Note) Term expired (Note) 100% Renewal of office (Note) 100% New office assumed (Note) 100% Mr. Lobbezoo did not have to attend 01/09 Special Meeting. Annotations: 1. There was no Securities and Exchange Act §14-5 resolution which was not approved by the Audit Committee but was approved by two thirds or more of all directors in 2015. 2. There were no recusals of independent directors due to conflicts of interests in 2015. 3. Descriptions of the communications between the independent directors, the internal auditors, and the independent auditors in 2015 (e.g. the channels, items and/or results of the audits on the corporate finance and/or operations, etc.): (1) The internal auditors have sent the audit reports to the members of the Audit Committee periodically, and presented the findings of all audit reports in the quarterly meetings of the Audit Committee. The head of Internal Audit will immediately report to the members of the Audit Committee any material matters. During 2015, the head of Internal Audit did not report any such material matters. The communication channel between the Audit Committee and the internal auditor functioned well. (2) The Company’s independent auditors have presented the findings of their quarterly review or audits on the Company’s financial results. Under applicable laws and regulations, the independent auditors are also required to immediately communicate to the Audit Committee any material matters that they have discovered. During 2015, the Company’s independent auditors did not report any irregularity. The communication channel between the Audit Committee and the independent auditors functioned well. Title Chair Member Member Member Member Member Name Stan Shih Sir Peter Leahy Bonfield Thomas J. Engibous Gregory C. Chow Kok-Choo Chen Michael R. Splinter Attendance in Person By Proxy Attendance Rate in Person (%) Notes 4 4 4 1 4 3 - - - - - - 100% Renewal of office (Note) 100% Renewal of office (Note) 100% Renewal of office (Note) 100% Term expired (Note) 100% Renewal of office (Note) 100% New office assumed (Note) Annotation: 1. There was no recommendation of the Compensation Committee which was not adopted or was modified by the Board of Directors in 2015. 2. There were no written or otherwise recorded resolutions on which a member of the Compensation Committee had a dissenting opinion or qualified opinion. Note: At the meeting of June 9, 2015, TSMC’s Board of Directors approved the appointment of all five independent directors, Stan Shih, Sir Peter Leahy Bonfield, Thomas J. Engibous, Kok-Choo Chen and Michael R. Splinter, as members of the Compensation Committee. Their respective tenures are from June 9, 2015 to June 8, 2018. 3.3 Major Decisions of Shareholders’ Meeting and Board Meetings 3.3.1 Major Resolutions of Shareholders’ Meeting and Implementation Status TSMC’s 2015 Annual Shareholders’ Meeting was held in Hsinchu, Taiwan on June 9, 2015. At the meeting, shareholders present in person or by proxy approved the following resolutions: (1) The 2014 Business Report and Financial Statements; (2) The distribution of 2014 profits; (3) Election of eight directors (including five independent directors). Implementation Status All the resolutions of the Shareholders’ Meeting have been fully implemented in accordance with the resolutions. The eight newly elected directors were Morris Chang, F.C. Tseng, Sir Peter Leahy Bonfield (Independent Director), Stan Shih (Independent Director), Thomas J. Engibous (Independent Director), Kok-Choo Chen (Independent Director), Michael R. Splinter (Independent Director) and Johnsee Lee (representative of National Development Fund, Executive Yuan). 3.3.2 Major Resolutions of Board Meetings During the 2015 calendar year, and as of the date of this Annual Report, major resolutions approved at Board meetings are summarized below: (1) Special Board Meeting of January 9, 2015: ● approving the sale of all shares (i.e. 565.5 million common shares) of TSMC Solid State Lighting Ltd. at a price of NT$825 million (equivalent to NT$1.46 per share) to Epistar Corporation. (2) Regular Board Meeting of February 9 & 10, 2015: ● approving 2014 business report and financial statements; ● approving distribution of 2014 profits, and cash dividends, employee cash bonus and employee profit sharing; ● approving capital appropriations of US$2,003.70 million (including: 1. installation of advanced and mainstream technology capacity; 2. installation of specialty technology capacity; 3. conversion of certain logic capacity to specialty technologies; 4. capacity installation and conversion for advanced packaging and assembly; 5. second quarter 2015 R&D capital investments and sustaining capital expenditures); and ● convening the 2015 Annual Shareholders’ Meeting. (3) Special Board Meeting of April 24, 2015: ● listing eight qualified candidates for directors (including five independent directors) to stand for election at TSMC’s 2015 Note: Sir Peter Leahy Bonfield, Stan Shih, Thomas J. Engibous, Kok-Choo Chen and Michael R. Splinter were elected as TSMC’s independent directors and became members of the Audit Committee on June 9, 2015. Their respected tenures are from June 9, 2015 to June 8, 2018. Annual Shareholders’ Meeting. 034 035 (4) Regular Board Meeting of June 9 & 10, 2015: (7) Board Meeting of February 1 & 2, 2016: 3.4 Taiwan Corporate Governance Implementation as Required by Taiwan Financial Supervisory ● re-election of Dr. Morris Chang as the Chairman and Dr. F.C. Tseng as the Vice Chairman of the Board of Directors; ● approving 2015 business report and financial statements; ● approving distribution of 2015 profits, and cash ● approving to sell 5% common shares of Vanguard International Semiconductor Corporation; ● approving capital appropriation of US$1,452.15 million (including: 1. installation of advanced and specialty technology capacity; 2. conversion of certain logic capacity to specialty technologies; 3. third quarter 2015 R&D capital investments and sustaining capital expenditures); and dividends, employee cash bonus and employee profit sharing; ● approving the establishment of a wholly owned subsidiary in Nanjing of China with capital injection not exceeding US$1 billion, subject to approval from the Investment Commission of Taiwan’s Ministry of Economic Affairs, to set up a 12-inch fab and a design service center with a total capital investment not to exceed US$3 billion; ● approving the capital injection of not more than ● approving capital appropriations of US$2,536.9 million US$2 billion to TSMC Global Ltd., a wholly-owned BVI subsidiary, for the purpose of reducting foreign exchange hedging costs. (including: 1. installation of advanced technology capacity; 2. second quarter 2016 R&D capital investments and sustaining capital expenditures); (5) Regular Board Meeting of August 10 & 11, 2015: ● approving the capital injection of not more than US$2 billion to TSMC Global Ltd., a wholly-owned BVI subsidiary, for the purpose of reducing foreign exchange hedging costs; and ● convening the 2016 Annual Shareholders’ Meeting. 3.3.3 Major Issues of Record or Written Statements Made by Any Director Dissenting to Important Resolutions Passed by the Board of Directors during the 2015 Calendar Year and as of the Date of this Annual Report: None. ● approving capital appropriations of US$1,236.9 million (including: 1. capacity expansion of advanced technology and packaging and assembly; 2. conversion of certain logic capacity to specialty technologies; 3. fourth quarter 2015 R&D capital investments and sustaining capital expenditures); and ● approving acquisition of OmniVision Technologies, Inc.’s (OVT’s) 49.1% ownership in VisEra Holding Cayman, Ltd., and 100% ownership in Taiwan OmniVision Invetment Holding Co. Inc. (OVT Taiwan), at an amount not more than US$126 million. The acquisition of shares is conditional on related governments (including the United States) approving a Chinese consortium’s acquisition of OVT. (6) Regular Board Meeting of November 9 & 10, 2015: ● approving capital appropriations of US$3,963.3 million (including: 1. capacity expansion of advanced technology and packaging and assembly; 2. construction of fab facilities and installation of facility systems; 3. first quarter 2016 R&D capital investments and sustaining capital expenditures); and ● approving the promotion of Dr. Y.L. Wang as Vice President. Commission Assessment Item 1. Does Company follow “Taiwan Corporate Governance Implementation” to establish and disclose its corporate governance practices? Yes No V 2. Shareholding Structure & Shareholders’ Rights (1) Does Company have Internal Operation Procedures for handling shareholders’ suggestions, concerns, disputes and litigation matters. If yes, has these procedures been implemented accordingly? (2) Does Company possess a list of major shareholders and beneficial owners of these major shareholders? (3) Has the Company built and executed a risk management system and “firewall” between the Company and its affiliates? (4) Has the Company established internal rules prohibiting insider trading on undisclosed information? V V V V 3. Composition and Responsibilities of the Board of Directors (1) Has the Company established a diversification policy for the composition V of its Board of Directors and has it been implemented accordingly? (2) Other than the Compensation Committee and the Audit Committee which are required by law, does the Company plan to set up other Board committees? (3) Has the Company established methodology for evaluating the performance of its Board of Directors, on an annual basis? (4) Does the Company regularly evaluate its external auditors’ independence? 4. Has the Company established a means of communicating with its Stakeholders or created a Stakeholders Section on its Company website? Does the Company respond to stakeholders’ questions on corporate responsibilities? 5. Has the Company appointed a professional registrar for its Shareholders’ Meetings? 6. Information Disclosure (1) Has the Company established a corporate website to disclose information regarding its financials, business and corporate governance status? (2) Does the Company use other information disclosure channels (e.g. maintaining an English-language website, designating staff to handle information collection and disclosure, appointing spokespersons, webcasting investors conference etc.)? V V V V V V V Non- implementation and Its Reason(s) Same as explanation None None Implementation Status Explanation TSMC has always followed excellent corporate governance practices, provided the utmost in operational transparency and safeguarded shareholders’ equity. Although the Company does not have a formal code of practice for corporate governance, however TSMC has always been highly regarded as the industry leader in implementing comprehensive corporate governance practices. In addition, the Company also has a world-class Board of Directors. The Company believes that corporate governance is based on integrity. TSMC has been proving its excellent corporate governance in its operating performance and continued winning of domestic and international awards on best corporate governance company. (1) TSMC has designated appropriate departments, such as Corporate Communication Division, the SEC Compliance Department, Legal Department, etc., to handle shareholder suggestions, concerns, disputes or litigation matters. (2) TSMC tracks the shareholdings of directors, officers, and shareholders holding more than 10% of the outstanding shares of TSMC. (3) TSMC has set up internal rules in the Company’s Internal Control System and Affiliated Corporations Management. (4) TSMC has established its “Insider Trading policy” that applies to all employees, officers and members of the Board of Directors of the Company and to any other person having a duty of trust or confidence, with respect to transactions in the Company’s securities. This policy prohibits any insider trading and the Company regularly provides internal training on this issue. (1) The members of TSMC Board of Directors are nominated via a rigorous selection process. It not only considers professional competence, but also attaches great importance to his/her personal reputation on ethics and leadership. Presently, the Company’s Board of Directors consists of eight members who possesses world-class managerial and/or academic experiences. We rely on each directors’ knowledge, personal insight and business judgment. One female director currently sits on the Board of Director, and a majority of our Board consists of independent directors. (2) All important resolutions are decided by the Board of Directors of the Company. TSMC founded its audit committee and compensation committee in 2002 and 2003 respectively, and the members of these committees are all independent directors. In addition, the Company has a Corporate Social Responsibility Committee which is formed by the Company’s management team and it reports to the Board of Directors. (3) As TSMC’s corporate governance concept, the Board of Director’s primary responsibility is to supervise, provide guidance and evaluate the management’s performance and to dismiss officers of the Company when necessary. TSMC’s Board of Directors consists of distinguished members with a great breadth of experience as world-class business leaders or scholars and adhere high ethical standards and commitment to the Company. Each quarter’s Board Meeting is last for two days. Company’s resolutions are determined in board meeting, also business strategy and future orientation are discussed in the meeting, in order to create best interest for shareholders. Based on TSMC’s operating performance and local/international awards of best corporate governance, it certainly proves the Company’s excellent performance of Board of Directors. Also, TSMC’s audit committee performs self evaluation and discusses future issues of concern by questionnaire on annual basis. (4) The Audit Committee annually evaluates the independence of external auditors and reports the same to the Board of Directors. Depending on the situation, the Company’s Corporate Communication Division and SEC Compliance department will communicate with stakeholders. We also have publicly disclosed the contact information of our corporate spokesperson and relevant departments. Also, we have a stakeholder section on our corporate website to address our corporate social responsibilities and any other issues. None We have appointed China Trust as our registrar for our Shareholders’ Meetings. None (1) TSMC discloses its financials, business and corporate governance status on its None website at http://www.tsmc.com (in Chinese and English). TSMC’s American Depositary Receipt (ADR) is listed on the New York Stock Exchange (NYSE). As a foreign issuer, TSMC must comply with NYSE’s rules. We have been operating in accordance with NYSE listing standards, and have been disclosing the major differences between our corporate governance practices and U.S. corporate governance practices. Please see http://www.tsmc.com/ download/english/e03_governance/NYSE_Section_303A.pdf (2) TSMC has designated appropriate departments (e.g. the Corporate None Communication Division, the SEC Compliance Department, etc.) to handle the collection and disclosure of information as required by the relevant laws and regulations of Taiwan and other jurisdictions. TSMC has designated spokespersons as required by relevant regulations. TSMC webcasts live investor conferences. 036 (Continued) 037 Assessment Item 7. Has the Company disclosed other information to facilitate a better understanding of its corporate governance practices (e.g. including but not limited to employee rights, employee wellness, investor relations, supplier relations, rights of stakeholders, directors’ training records, the implementation of risk management policies and risk evaluation measures, the implementation of customer relations policies, and purchasing insurance for directors)? Yes V Implementation Status No Explanation Non- implementation and Its Reason(s) (1) For employee rights and employee wellness, please refer to “5.5 Employees” on None page 75-79 of this Annual Report. (2) For investor relations, supplier relations and rights of stakeholders, please refer to “7. Corporate Social Responsibility” on page 106-125 of this Annual Report. (3) For Directors’ training records, please refer to page 38 of this Annual Report. (4) For Risk Management Policies and Risk Evaluation, please refer to “6.3 Risk Management” on page 95-105 of this Annual Report. (5) For Customer Relations Policies, please refer to “5.4 Customer Trust” on page 73-74 of this Annual Report. (6) TSMC maintains D&O Insurance for its directors and officers. 8. Does the Company perform any self evaluations on its corporate governance practices or appointed any third party to do so? (If yes, please disclose the Board of Director’s view on the results of such evaluation). V In January 2015, we conducted the self-evaluation through the corporate governance evaluation system which was developed by the TWSE Corporate Governance Center and was ranked top 5% companies. None In addition, TSMC received recognitions for achievements in innovation, business information disclosure, sustainability, investor relations and overall excellence in management from organizations including Barron’s, FinanceAsia, Fortune Magazine, Institutional Investor, IR Magazine, GlobalViews Magazine, CommonWealth Magazine, RobecoSAM and the Taiwan Stock Exchange. The IEEE Spectrum Magazine gave TSMC the highest score in its 2015 Patent Power Scorecard in the semiconductor manufacturing sector. For the third consecutive year, TSMC was named Semiconductor and Semiconductor Equipment Industry Group Leader by the Dow Jones Sustainability Indices. Continuing Education/Training of Directors in 2015 Date 05/30 06/05 10/17 05/08 06/17 01/13 03/23 04/13 05/08 08/13 08/14 Host by National Taiwan University Bank of Taiwan Training/Speech Title Speech: Thoughts on Innovation in Higher Education Speech: World’s Semiconductor Industry Status and Outlook (Executives Lecture) EMBA, National Taiwan University Speech: My Management Experience and Philosophy Securities and Futures Institute Practices on how to conduct effective social responsibility reporting Taiwan Corporate Governance Association Long-term incentive compensation application trends and design considerations Cloud Services Measurement Initiative Consortium (CSMIC) Speech: The New Economics under the Moving Wave Yuanta Securities Co., Ltd. China Productivity Center Securities Regulations Learning Speech: Wangdao Management Philosophy Wangdao Management Philosophy Forum Speech: Wangdao and Competition Taiwan Corporate Governance Association The Game Theory of Reborn of Taiwan Enterprises Economic Development Bureau, Tainan City Government Monte Jade Science and Technology Association of Taiwan 2015 Global Green Business Opportunities and Trends Seminar 08/27 Securities and Futures Institute Forum for Directors of Public Companies – Corporate Integrity Risk Control and Social Responsibility New World Forum Duration 1 hour 1 hour 1 hour 3 hours 3 hours 2 hours 3 hours 1 hour 3.5 hours 3 hours 4 hours 3 hours 12/02 08/11 Asian Venture Capital Journal (AVCJ) Private Equity and Venture Capital Forum – Taiwan 2015 TSMC “The Outlook for Taiwan’s 2016 Presidential and Legislative Elections” by Dr. Chi SU, Chairman of Taipei Forum 8 hours 45 min 1 hour Name Morris Chang (Note) F.C. Tseng Stan Shih (Note) Johnsee Lee Morris Chang F. C. Tseng Sir Peter Leahy Bonfield Stan Shih Thomas J. Engibous Kok-Choo Chen Michael R. Splinter Johnsee Lee 1. From time to time, TSMC provides directors with information concerning regulatory requirements and developments as related to directors’ activities. TSMC management also regularly presents updates on the Company’s business and other information to directors. 2. Regular regulatory update reports are provided by TSMC’s General Counsel and by the Company’s independent auditors at the Audit Committee meetings such as: - Conflict-free Minerals - U.S. SEC Rules update Note: Selected speeches on corporate governance and related topics. Continuing Education/Training of Management in 2015 Name/Title Lora Ho Senior Vice President, Chief Financial Officer and Spokesperson Sylvia Fang Vice President and General Counsel Date 05/08 08/06 08/07 05/29 06/15 09/23 11/27 11/30 11/06 12/09 Jessica Chou Director, Accounting Division John Liang Director, Internal Audit Division Host by Training Securities and Futures Institute How Corporations conduct better social responsibility and reporting practices Taiwan Corporate Governance Association Directors and Supervisors’ Civil and Criminal Responsibilities and Case Studies Securities and Futures Institute Legal Liability of Directors and Supervisors arising in Mergers and Acquisitions Nationla Chung Hsing University Legal Challenges and Uncertainties Facing A Global High-tech Company CAIL Systems Inc. 09/04 Lee and Li, Attorneys at Law Intellectual Property Office and State Intellectual Property Office of P.R.C Data Privacy & Data Security: What is most likely to bring a company’s business to a halt Symposia for the 50th Anniversary - Opportunities and Challenges for Corporate Mergers in the Era of Globalization 2015 Patent Cross-Strait Forum Patent Litigation Strategies and Management Forum - Experience sharing: Strategies and Management of TSMC’s Transnational Patent Portfolios and US Patent litigations Accounting Research and Development Foundation The annual professional development training for principal accounting officer Accounting Research and Development Foundation Auditing and Case Study on Regulation Management The Institute of Internal Auditors Case Sharing of Procurement Auditing Duration 3 hours 3 hours 3 hours 2 hours 16 hours 2 hours 3 hours 6 hours 6 hours 6 hours 6 hours In addition, various training programs and speech presentations were also provided by TSMC’s Legal Organization for Management and the relevant divisions, such as: ● Anti-bribery/corruption ● Antitrust (unfair competition) ● Environmental, Safety and Health (ESH) ● Insider Trading ● Intellectual Property Protection ● Privacy Protection ● Export Control Enhancement 3.5 Code of Ethics and Business Conduct Ethics at TSMC Code of Conduct: Integrity is the most important core value of TSMC’s culture. TSMC is committed to acting ethically in all aspects of our business; constantly and vigilantly promoting integrity, honesty, fairness, accuracy, and transparency in all that we say and do. At the heart of our corporate governance culture is TSMC’s Code of Ethics and Business Conduct (the “Code”) that applies to TSMC and its subsidiaries. The Code requires that each employee bears a heavy personal responsibility to preserve and to protect TSMC’s ethical values and reputation and to comply with various applicable laws and regulations. Major Ethics Code Obligations ● Do not advance personal interests at the expense of, or in conflict with the Company; ● Refrain from corruption, unfair competition, fraud, and waste or abuse of corporate assets; ● Avoid any efforts improperly to influence the decisions of anyone, including government officials, agencies, and courts, as well as our customers, suppliers, and vendors. ● Do not undertake any practices detrimental to TSMC, the environment and to society; ● Procure all of our raw materials from socially responsible sources; ● Protect proprietary information of TSMC and our customers; and ● Abide by both the letter and spirit of all applicable laws, rules and regulations. Intellectual Property Protection: In order to build and sustain an environment of innovation, technology leadership, and sustainable profitable growth, the Code requires that we promote business relationships founded upon an unwavering respect for the intellectual property rights, proprietary information and trade secrets of TSMC, our customers, and others. Public Disclosures: TSMC’s officers, especially our CEO, CFO, and General Counsel, with oversight from our Board, are responsible for the full, fair, accurate, timely, and understandable financial accounting and financial disclosure in reports and documents filed 038 039 by the Company with securities authorities and in all TSMC public communications and disclosures. TSMC has a variety of measures in place to ensure compliance with these disclosure obligations. Any modification to the Code requires the approval of our Audit Committee to ensure our ethics compliance program is independently reviewed against corporate best practices. Code Implementation High Standard Ethical Culture: Our ethics program is implemented in four ways by all of our employees, officers and Board members. First, TSMC’s management sets the “tone from the top” by acting in accordance with the Code so that they may be an example to all stakeholders. Second, working-level managers are responsible for ensuring their staff’s understanding of and compliance with applicable rules and regulations. Third, we encourage an environment of open communications in discussing any questions related to the Code. Any stakeholder may consult his or her direct supervisors, Human Resources or Legal to obtain timely advice. Lastly, TSMC requires all employees to stay vigilant and report any noncompliance by anyone to their supervisors, the function head of Human Resources, the responsible corporate Vice President that oversees the Ombudsmen system, or to the Chairman of the Company’s Audit Committee directly and, if desired, anonymously. Self-Assessment of All Departments and Employees: Self-assessment of all departments and employees is an important part of our ethics compliance program. All departments and subsidiaries of TSMC are required to conduct Control Self-Assessment (CSA) tests annually to review employees’ awareness of the Code. The CSA results are reviewed to track the results of our compliance program. In addition, all employees must disclose any matters that cause, or may cause, actual or potential conflict of interest. In addition to such proactive disclosure requirement, employees with certain job responsibilities and senior officers must annually declare any relationships that may constitute a conflict of interest, which is then reviewed by executive management. Internal Auditing: The Internal Auditor of TSMC plays a critical role in ensuring the Company’s compliance with the Code and relevant rules and regulations. To ensure that our financial, managerial, and operating information is accurate, reliable, and timely and that our employee’s actions are in compliance with applicable policies, standards, procedures, laws and regulations, our Internal Auditor conducts audits of various control points within the Company in accordance with its annual audit plan approved by the Board of Directors and subsequently reports its audit findings and remedial issues to the Board and management on a regular basis. Training and Promotion: To promote awareness to our employees of their responsibilities under the Code, we publish our Code and related policies and documents on our intranet and, provide training courses, posters, and internal news articles. For incoming employees, we provide an introductory training course on the Code which is available to all employees online, as well as advanced courses delving into more specific individual topics such as anti-corruption, PIP, export control and insider trading. In addition to our internal compliance efforts, we expect and assist our customers, suppliers, business partners, and any other entities with whom we deal (such as consultant or third party agents who act for or on behalf of TSMC) to recognize and understand TSMC’s ethical standards to fulfill our responsibilities as a corporate citizen. For instance, we require all of our suppliers, vendors and contractors to declare in writing that they will not engage in any fraud or any unethical conduct when dealing with us, our officers, or employees. In 2015, TSMC became a full member of the Electronic Industry Citizenship Coalition (EICC) which is the largest industry coalition dedicated to electronics supply chain sustainability. In addition to adopting the EICC Code of Conduct at all of its facilities, TSMC applied the EICC’s standards to enhance our audit program of our suppliers and relevant business partners. We also provide training and communicate our ethical culture to our business partners through regular live seminars to prevent any unethical conduct and detect any sign of Code violations. We exchange views on appropriate business conduct and TSMC’s ethical standards with our customers as part of customer audit programs and other occasions. Reporting Channels and Whistleblower Protection To ensure that our conduct meets the highest legal and ethical standards, TSMC provides multiple channels for reporting business conduct concerns. First of all, we have implemented the “Complaint Policy and Procedures for Certain Accounting and Legal Matters” and “Procedures for Ombudsman System” that allow employees or any whistleblowers with relevant evidence to report any financial, legal, or ethical irregularities. To foster an open culture of ethics compliance, we encourage our employees and the third parties we do business with to report any suspected wrongdoing by TSMC or by any parties with whom we do business. TSMC treats any complaint and the investigation thereof in a confidential and sensitive manner, and strictly prohibits any form of retaliation against any individual who in good faith reports or helps with the investigation of any complaint. 040 Code Violation Disciplinary Action We do not tolerate any violation of the Code and treat every possible violation incident seriously. Any violator of the Code (or relevant regulations) will be severely disciplined to the full extent of our policies and the law, including immediate dismissal, termination of business relationship, and judicial prosecution as appropriate. Compliance Activities Prevention Detection Enhancement - Employee declaration - Employee education - Continuing promotion - Stakeholder promotion/cooperation - Internal auditor - Internal/external hotline - Administrative discipline/legal action - Monitor and analyze outcomes - Propose improved procedures - Implement enhanced management system 3.5.1 Taiwan Corporate Conduct and Ethics Implementation as Required by the Taiwan Financial Supervisory Commission Assessment Item 1. Establishment of Corporate Conduct and Ethics Policy and Implementation Measures (1) Does the company have bylaws and publicly available documents addressing its corporate conduct and ethics policy and measures, and the commitment regarding implementation of such policy from the Board of Directors and the management team? (2) Does the company establish relevant policies which are duly enforced to prevent unethical conduct and provide implementation procedures, guidelines, consequence of violation and complaint procedures in such policies? (3) Does the company establish appropriate compliance measures for the business activities prescribed in paragraph 2, article 7 of the Ethical Corporate Management Best Practice Principles for TWSE/GTSM Listed Companies and any other such activities associated with high risk of unethical conduct? Yes No Summary Implementation Status Non-implementation and Its Reason(s) None V V (1) Integrity is the most important core value of TSMC’s culture. TSMC is committed to acting ethically in all aspects of our business. We have established TSMC Code of Ethics and Business Conduct (the “Code”) to require that each employee bears a heavy personal responsibility to uphold TSMC’s ethics value. For more details on the Code and the measures that TSMC Board of Directors (the “Board”) and the management team take to ensure compliance of the Code please refer to TSMC’s Annual Report and the Corporate Social Responsibility Report. (2) At the heart of our corporate governance culture is the Code that applies to TSMC and its subsidiaries, and this Code requires that each employee bears a heavy personal responsibility to preserve and to protect TSMC’s ethical values and reputation and to comply with various applicable laws and regulations. Specific requirements under the Code could be found in our Annual Report. In addition, to educate and remind our employees of their responsibilities under the Code, we publish our Code, relevant policies and documents on our intranet and promote its awareness through training courses, posters, and internal news articles. Furthermore, to ensure that our conduct meets the highest legal and ethical standards, TSMC provides multiple channels for reporting business conduct concerns. Please refer to Assessment Item 3 for details. We do not tolerate any violation of the Code and treat every possible violation incident seriously. Any violator of the Code (or relevant regulations) will be severely punished to the full extent of our policies and the law, including immediate dismissal in accordance with TSMC Employee Recognition, Disciplinary and Ombudsman Procedure, termination of business relationship, and judicial prosecution as appropriate. V (3) Under the framework of the Code, TSMC has established policies, guidelines and procedures in other policy areas, including: Anti- corruption, Anti- harassment/ discrimination, Antitrust (unfair competition), Environment, Export Control, Financial Reporting/Internal Controls, Insider Trading, Intellectual Property, Proprietary Information Protection (“PIP“), Privacy, Record Retention and Disposal, as well as procuring raw materials from socially responsible sources (“Conflict-free Minerals“). The above-mentioned policies are crucial in strengthening overall compliance with the Code. TSMC, its employees and its subsidiaries and affiliates are expected to fully understand and comply with all laws and regulations that govern our businesses. The Internal Auditor of TSMC also plays a critical role in ensuring the Company’s compliance with the Code and relevant rules and regulations. To ensure that our financial, managerial, and operating information is accurate, reliable, and timely and that our employee’s actions are in compliance with applicable policies, standards, procedures, laws and regulations, our Internal Auditor conducts audits of various control points within the Company in accordance with its annual audit plan approved by the Board of Directors and subsequently reports its audit findings and remedial issues to the Board and Management on a regular basis. (Continued) 041 Non-implementation and Its Reason(s) None Assessment Item Implementation Status Yes No Summary 2. Ethic Management Practice (1) Does the company assess the ethics records of whom it has business V relationship with and include business conduct and ethics related clauses in the business contracts? (2) Does the company set up a unit which is dedicated to or tasked with promoting the company’s ethical standards and reports directly to the Board of Directors with periodical updates on relevant matters? (3) Does the company establish policies to prevent conflict of interests, provide appropriate communication and complaint channels and implement such policies properly? (4) To implement relevant policies on ethical conducts, does the company establish effective accounting and internal control systems that are audited by internal auditors or CPA periodically? V V V (1) We expect and assist our customers, suppliers, business partners, and any other entities with whom we deal (such as consultant or third party agents who act for or on behalf of TSMC) to understand and act in accordance with TSMC’s ethical standards. For instance, as for our suppliers, vendors and contractors, we require all of them to declare in writing that they will not engage in any fraud or any unethical conduct when dealing with us or our officers and employees. In addition to periodic audit, we also provide training and communicate our ethical culture to our business partners through regular live seminars to prevent any unethical conduct. We exchange views on business conduct and TSMC’s ethical standards with our customers in customer audit programs and other proper occasions. (2) TSMC’s Board of Directors strives to perform the responsibilities of supervising the corporate conduct and ethics compliance practice through the Audit Committee and the Compensation Committee, the hiring of a financial expert for the Audit Committee, and coordination with the Internal Audit department. In addition, General Counsel, the responsible corporate Vice President who oversees the Ombudsmen system and Internal Auditors update the Board ethical standards compliance issues on a regular basis. Moreover, TSMC’s officers, especially our CEO, CFO, and General Counsel, with oversight from our Board, are responsible for the full, fair, accurate, timely, and understandable financial accounting and financial disclosure in reports and documents filed by the Company with securities authorities and in all TSMC public communications and disclosures. (3) TSMC requires newly hired employees to declare any conflict of interest situation as appropriate. In addition, all employees must disclose any matters that have, or may have, the appearance of undermining the Code (such as any actual or potential conflict of interest). Furthermore, key employees and senior officers must periodically declare their compliance status with the Code according to relevant procedures. (4) TSMC continues maintaining the integrity of its financial reporting processes and controls and establishes appropriate internal control systems for preventing higher potential unethical conduct, and the Internal Auditors formulate annual audit plans based on the results of the risk assessment and subsequently reports its audit findings and remedial issues to the Board and Management on a regular basis. In addition, all departments and subsidiaries of TSMC are also required to conduct Control Self-Assessment (CSA) tests annually to review the effectiveness of the internal control system. (5) Does the company provide internal and external ethical conduct training V (5) Training is a major component of our compliance program, conducted programs on a regular basis? 3. Implementation of Complaint Procedures (1) Does the company establish specific complaint and reward procedures, set up conveniently accessible complaint channels, and designate responsible individuals to handle the complaint received? (2) Does the company establish standard operation procedures for investigating the complaints received and ensuring such complaints are handled in a confidential manner? (3) Does the company adopt proper measures to prevent a complainant from retaliation for his/her filing a complaint? 4. Information Disclosure Does the company disclose its guidelines on business ethics as well as information about implementation of such guidelines on its website and Market Observation Post System (“MOPS”)? throughout the year to refresh TSMC’s employees’ commitment to ethical conduct, and to get updated information on laws and regulations related to their daily operations. As for our suppliers, vendors and contractors, we communicate our ethical culture to our business partners through regular live seminars to ensure their fully understanding of our commit to ethical conduct. (1) TSMC has implemented the “Complaint Policy and Procedures for Certain Accounting and Legal Matters“ and “Procedures for Ombudsman System“ that allow employees or any whistleblowers with relevant evidence to report any financial, legal, or ethical irregularities. TSMC also requires all employees to stay vigilant and whistle-blow any noncompliance by anyone to their supervisors, the function head of Human Resources, the responsible corporate Vice President that oversees the Ombudsmen system, or to the Chairman of the Company’s Audit Committee directly and anonymously. In particular, the Ombudsmen system is open to external parties such as our vendors and subcontractors. (2) TSMC treats any complaint and the investigation thereof in a confidential and sensitive manner, and such manner is clearly stated in our bylaws. (3) TSMC strictly prohibits any form of retaliation against any individual who in good faith reports or helps with the investigation of any complaint, and such requirement is clearly stated in our bylaws. Our internal website provides guidelines and informative articles on ethics and honorable business conduct (in both Chinese and English) for employees’ easy access. In addition, TSMC discloses relevant policies and information in its Annual Report (which is also available at the MOPS) and CSR Report (available at: http://www.tsmc.com) None None V V V V 5. If the company has established corporate governance policies based on TSE Corporate Conduct and Ethics Best Practice Principles, please describe any discrepancy between the policies and their implementation. TSMC has established the Code to require that all employees, officers and board members comply with the Code and the other policies and procedures. There is no discrepancy between the Code, including its affiliate policies and procedures, and its implementation. For more details, please refer to “3.5 Code of Ethics and Business Conduct” on page 39-42 of this Annual Report. 6. Other important information to facilitate better understanding of the company’s corporate conduct and ethics compliance practices (e.g., review the company’s corporate conduct and ethics policy). For details on the implementation of TSMC’s Corporate Conduct and Ethics, please refer to “3.5 Code of Ethics and Business Conduct” on page 39-42 of this Annual Report. 042 3.6 Regulatory Compliance TSMC’s robust compliance efforts are comprised of legislation monitoring, developing and implementation of effective compliance policies and programs, training, and maintaining an open reporting environment. Legislative Monitoring TSMC operates in many countries. To comply with governing legislation, applicable laws, regulations and regulatory expectations, we closely monitor domestic and foreign government policies and regulatory developments that could materially impact TSMC’s business and financial operations. Our Legal organization periodically updates our relevant internal departments, management and the Audit Committee of applicable regulatory changes so that internal teams ensure compliance with new regulatory requirements in a timely manner. We are a proactive advocate for local legislative and regulatory reform. For example, we achieved remarkable results in strengthening trade secret protection in Taiwan, and generally our comments and recommendations on legal reforms to the government have been accepted constructively. TSMC is increasingly dedicated to identifying potential regulatory issues and will continue to be involved in advocating public policy changes that foster a positive and fair business environment. Policy and Compliance Program Development and Implementation Under the framework of the Code, TSMC has established policies, guidelines and procedures in different compliance areas, including: Anti-corruption, Anti-harassment/discrimination, Employment Regulations, Antitrust (unfair competition), Environment, Export Control, Financial Reporting, Internal Controls, Insider Trading, Intellectual Property, Proprietary Information Protection (“PIP”), Privacy, Record Retention and Disposal, as well as procuring raw materials from socially responsible sources (“Conflict-free Minerals”). It is our belief that these policies are crucial in strengthening overall compliance with the Code and compliance programs. TSMC, its employees and its subsidiaries and affiliates are expected to fully understand and comply with all laws and regulations that govern our businesses and make ethical decisions in every circumstance. Compliance Awareness Training Training is a major component of our regulatory compliance program, conducted throughout the year to refresh TSMC’s employees’ commitment to ethical conduct, and to get updated information on laws and regulations related to their daily operations. Highlights of our training include: ● Posters at our facilities, and news articles, compliance guidelines and tips which our employees can access through our intranet; ● Live seminars focusing on specific topics such as Anti-Corruption (this was the highlight of our compliance training activities for 2015, as described in Section 3.3.1 below), PIP, Intellectual Property, Personal Data Protection, Conflict Minerals Compliance and Export Control Management. Training is made mandatory for those employees whose jobs are especially relevant to a particular topic to ensure sufficient awareness of relevant laws and internal policies; ● On-line learning programs updated frequently to provide most up-to-date information and timely and flexible access for employees to understand the law and key compliance issues, covering topics of Antitrust, Anti-harassment, Insider Trading, Export Control Management, PIP, and Privacy Protection among others; ● External training, in Taiwan and abroad, for TSMC’s legal team to receive current developments of new laws and regulations, and for its lawyers to comply with applicable continuing legal education requirements. External experts are also invited to give in-house lectures on key issues. Reporting Channel As mentioned above, TSMC provides an open reporting channel for employees and external parties such as our customer, vendors and subcontractors through its Ombudsman. Below is a summary of the Number of Reported Incidents: FY 2014 FY 2015 Incidents submitted to the Ombudsman System (Note) Incidents submitted to the Audit Committee Whistleblower System Incidents reported to the “hotline” which were treated as plausible Sexual Harassment Investigation Committee which were found after investigations Note: There were no incidents related to ethics, finance or accounting matters in 2014 or 2015. 39 - 22 - 4 4 60 - 16 - 7 7 043 Major Accomplishments In 2015, TSMC achieved several major accomplishments in regulatory compliance: ● Public Promotion Activities: In addition to rigorously fulfilling our obligations on regulatory compliance matters, TSMC exercised its civic duties as a responsible corporate citizen by advising the local government on law and policy reform, including urging the Government to amend certain outdated laws and regulations, which we believe were inconsistent with global practice, to improve Taiwan’s investment environment and economic development. For example, since Taiwan legislature’s acceptance of TSMC’s advice to impose criminal liability for trade secret misappropriation in 2012, TSMC continues to be an advocate of trade secret protection, and hosted multiple events to raise the event participants’ awareness of this topic that is critical to innovation and fair competition. ● Internal Training: Throughout 2015, TSMC offered a wide range of training courses on various compliance topics, including 12 on-line training courses, and 37 topics covered via live seminars. These courses were all developed and conducted by compliance experts and legal professionals. ● Anti-Corruption Program Enhancement: To provide more specific behavioral guidance to our employees, TSMC implemented new Anti-corruption Rules based on the anti-corruption provisions in the Code, and initiated a series of awareness activities to ensure our employees’ compliance with the requirements. Being the most interactive way of communication, the Legal organization provided a series of face-to-face training sessions to over 6,000 employees from different internal organizations. Employees who could not join the in-person training participated through the on-line training program. Overall, around 25,000 employees (including those of our subsidiaries) received the training and gained a deeper understanding on this critical topic. Looking ahead into 2016, it is our objective to continuously provide compliance training that includes the topic of anti-corruption, among others, to this same group of the employees. We also plan to conduct face-to-face communications with our manager-level employees to promote awareness of and ensure compliance with TSMC’s business conduct standards when interacting with third parties. ● Conflict-Free Supply Chain: As a recognized global leader in the electronics industry supply chain, we acknowledge our corporate social responsibility to strive to procure conflict free minerals in an effort to recognize humanitarian and ethical social principles that protect the dignity of all persons and have implemented a series of compliance safeguards. In 2015, TSMC has been making continued progress to ensure a conflict-free supply chain. Its conflict-free minerals compliance has also been highly ranked by independent third party rating agencies. ● Export Compliance: In order to prevent any unauthorized export of controlled items by TSMC or any of its subsidiaries, a formal policy and export management system (EMS) existed for a number of years is continuously maintained to ensure compliance with all applicable regulations covering the export of information, technologies, products, materials and equipment. TSMC’s EMS allows TSMC to streamline its complicated SHTC (Strategic High-Tech Commodities) export process and creates efficiency for both TSMC and its customers. TSMC’s EMS was certified in September 2012 by the Bureau of Foreign Trade, the Taiwan regulator, as a qualified ICP (Internal Control Program) exporter. Because of its successful implementation, TSMC has also frequently earned recognition as “best in class” and was asked to share our experience on EMS implementation to third parties that included a variety of domestic and foreign organizations and industry peers. ● Privacy: TSMC on behalf of itself and its subsidiaries adopted a privacy and personal data protection policy to meet global standards for handling personal data in compliance with relevant legislations respecting personal privacy in the workplace. A variety of promotion events were provided throughout 2015, including live, in-person seminars and online training programs, as well as the publication of educational materials such as a set of FAQs on TSMC intranet for employees’ easy access. All Human Resources staff received proper training as well. Flyers and eBanners posted throughout our facilities and through our intranet also successfully raised employees’ awareness on this topic. Through our dedication, we are fostering a culture whereby an individual’s personal data and privacy are protected and handled in line with global standards. 3.7 Internal Control System Execution Status Taiwan Semiconductor Manufacturing Company Limited Statement of Internal Control System Date: February 2, 2016 Based on the findings of a self-assessment, Taiwan Semiconductor Manufacturing Company Limited (TSMC) states the following with regard to its internal control system during the year 2015: 1. TSMC’s Board of Directors and Management are responsible for establishing, implementing, and maintaining an adequate internal control system. Our internal control is a process designed to provide reasonable assurance over the effectiveness and efficiency of our operations (including profitability, performance, and safeguarding of assets), reliability, timeliness, transparency of our reporting, and compliance with applicable rulings, laws and regulations. 2. An internal control system has inherent limitations. No matter how perfectly designed, an effective internal control system can provide only reasonable assurance of accomplishing its stated objectives. Moreover, the effectiveness of an internal control system may be subject to changes due to extenuating circumstances beyond our control. Nevertheless, our internal control system contains self-monitoring mechanisms, and TSMC takes immediate remedial actions in response to any identified deficiencies. 3. TSMC evaluates the design and operating effectiveness of its internal control system based on the criteria provided in the Regulations Governing the Establishment of Internal Control Systems by Public Companies (herein below, the “Regulations”). The criteria adopted by the Regulations identify five key components of managerial internal control: (1) control environment, (2) risk assessment, (3) control activities, (4) information and communication, and (5) monitoring activities. 4. TSMC has evaluated the design and operating effectiveness of its internal control system according to the aforesaid Regulations. 5. Based on the findings of such evaluation, TSMC believes that, on December 31, 2015, it has maintained, in all material respects, an effective internal control system (that includes the supervision and management of our subsidiaries), to provide reasonable assurance over our operational effectiveness and efficiency, reliability, timeliness, transparency of reporting, and compliance with applicable rulings, laws and regulations. 6. This Statement will be an integral part of TSMC’s Annual Report for the year 2015 and Prospectus, and will be made public. Any falsehood, concealment, or other illegality in the content made public will entail legal liability under Articles 20, 32, 171, and 174 of the Securities and Exchange Law. 7. This Statement has been passed by the Board of Directors in their meeting held on February 2, 2016, with none of the eight attending directors expressing dissenting opinions, and the remainder all affirming the content of this Statement. Taiwan Semiconductor Manufacturing Company Limited Morris Chang, Chairman Mark Liu, President and Co-Chief Executive Officer C.C. Wei, President and Co-Chief Executive Officer 044 045 3.8 Status of Personnel Responsible for the Company’s Financial and Business Operation 3.8.1 Resignation or Dismissal of Chairman, President, and Heads of Accounting, Finance, Internal Audit and R&D during the 2015 Calendar Year and as of the Date of this Annual Report: None. 3.8.2 Certification of Employees Whose Jobs are Related to the Release of the Company’s Financial Information Certification Certified Public Accountants (CPA) US Certified Public Accountants (US CPA) The Chartered Institute of Management Accountants (CIMA) Certified Internal Auditor (CIA) Chartered Financial Analyst (CFA) Certified Management Accountant (CMA) Financial Risk Manager (FRM) Certificate in Financial Management (CFM) Certification in Control Self-Assessment (CCSA) Certification in Risk Management Assurance (CRMA) Certified Information Systems Auditor (CISA) BS7799/ISO 27001 Lead Auditor Number of Employees Internal Audit 3 3 - 12 - - - - 3 4 4 1 Finance 27 12 1 5 4 2 1 1 - - - - 3.9 Information Regarding TSMC’s Independent Auditor 3.9.1 Audit Fees The Audit Committee approves all fees payable to TSMC’s independent auditor and recommends the same to the Board of Directors for further approval. The Board of Directors has authorized the Audit Committee to approve any increase not exceeding 10% of the approved fees. Unit: NT$ thousands Accounting Firm Name of CPA Audit Fee Non-audit Fee Whether the CPA’s Audit Period Covers an Entire Fiscal Year System Design Company Registration Human Resource Others (Note 1) Subtotal Yes No Audit Period Deloitte & Touche Yi-Hsin Kao, Hung-Wen Huang, and others 60,363 - 90 1,994 82 2,166 V Note 1: Fees mainly related to accounting research tool. Note 2: Article 10-5-1 of Regulation Governing Information to be published in Annual Report of Public Companies was not applicable to TSMC. Remark Note 2 3.9.2 TSMC did not replace its independent auditor during 2014, 2015, and as of February 29, 2016. 3.9.3 TSMC’s Chairman, Directors, Chief Executive Officer, Chief Financial Officer, and managers in charge of its finance and accounting operations did not hold any positions within TSMC’s independent audit firm or its affiliates in the most recent year. 3.9.4 Evaluation of the External Auditor’s Independence The Audit Committee regularly monitors the independence of TSMC’s external auditor by conducting the below evaluations and reports the same to the Board of Directors: 1. The auditor’s independence declaration 2. The Audit Committee pre-approves all audit and non-audit services conducted by the auditor to ensure that the non-audit services do not influence the results of the audit 3. Ensure the audit partner rotates every five years 4. Annually evaluate the independence of the external auditor based on the results of the auditor survey 3.10 Material Information Management Procedure TSMC has established relevant procedures for managing and disclosing material information. The responsible departments regularly remind all officers and employees about the need to comply with these procedures and other applicable regulations when they become aware of any potential material information and the possible need to publicly disclose such information. To ensure that our employees, managers and board directors are aware of and comply with these relevant regulations, TSMC has also established our “Insider Trading Policy”. To reduce the risk of insider trading, on-line training programs and live seminars are conducted periodically. In addition, employees can familiarize themselves with relevant internal policies and training articles by easily accessing TSMC’s Legal Organization intranet website. 046 047 4. Capital and Shares 4.1 Capital and Shares 4.1.1 Capitalization Unit: Share/NT$ Month/ Year Issue Price (Per Share) Authorized Share Capital Capital Stock Shares Amount Shares Amount Sources of Capital Remark Capital Increase by Assets Other than Cash 03/2015 07/2015 10 28,050,000,000 280,500,000,000 25,929,662,436 259,296,624,360 Exercise of Employee Stock None Options: NT$2,874,800 10 28,050,000,000 280,500,000,000 25,930,380,458 259,303,804,580 Exercise of Employee Stock None Options: NT$7,180,220 As of 02/29/2016 Date of Approval & Approval Document No. 03/12/2015 Zhu Shang Tzu No. 1040006423 07/13/2015 Zhu Shang Tzu No. 1040020526 As of 02/29/2016 Total Authorized Share Capital Issued Shares Listed Non-listed Total Unissued Shares 25,930,380,458 - 25,930,380,458 2,119,619,542 28,050,000,000 4.1.2 Capital and Shares Unit: Share Type of Stock Common Stock Shelf Registration: None. 4.1.3 Composition of Shareholders Common Share Type of Shareholders Government Agencies Financial Institutions Other Juridical Persons Foreign Institutions and Natural Persons Domestic Natural Persons Number of Shareholders 8 207 1,208 3,733 349,155 As of 07/05/2015 (last record date) Total 354,311 Shareholding 1,653,797,313 977,881,685 1,380,363,102 19,657,991,373 2,260,346,985 25,930,380,458 Holding Percentage (%) 6.38% 3.77% 5.32% 75.81% 8.72% 100.00% 048 048 049 049 Distribution Profile of Share Ownership Common Share Shareholder Ownership (Unit: Share) Number of Shareholders 1 ~ 999 1,000 ~ 5,000 5,001 ~ 10,000 10,001 ~ 15,000 15,001 ~ 20,000 20,001 ~ 30,000 30,001 ~ 40,000 40,001 ~ 50,000 50,001 ~ 100,000 100,001 ~ 200,000 200,001 ~ 400,000 400,001 ~ 600,000 600,001 ~ 800,000 800,001 ~ 1,000,000 Over 1,000,001 Total 151,145 142,760 27,422 10,543 4,871 5,357 2,509 1,595 3,134 1,637 1,095 443 250 178 1,372 354,311 Preferred Share: None. 4.1.4 Major Shareholders Common Share Shareholders ADR-Taiwan Semiconductor Manufacturing Company, Ltd. National Development Fund, Executive Yuan JPMorgan Chase Bank N.A. Taipei Branch in custody for Saudi Arabian Monetary Agency Government of Singapore JPMorgan Chase Bank N.A. Taipei Branch in custody for EuroPacific Growth Fund JPMorgan Chase Bank N.A. Taipei Branch in custody for ABU DHABI Investment Authority Cathay Life Insurance Co., Ltd. Vanguard Emerging Markets Stock Index Fund, a Series of Vanguard International Equity Index Funds Norges Bank JPMorgan Chase Bank, N.A., Taipei Branch in custody for Stichting Depositary APG Emerging Markets Equity Pool Ownership 34,213,375 303,388,668 197,371,094 128,147,107 85,778,760 130,583,605 86,644,013 71,688,191 219,362,243 227,187,115 305,191,059 216,576,354 174,666,125 160,051,044 23,589,531,705 25,930,380,458 Total Shares Owned 5,365,356,963 1,653,709,980 641,514,041 635,155,654 354,917,649 320,874,542 287,686,235 252,531,845 235,258,515 230,128,873 As of 07/05/2015 (last record date) Ownership (%) 0.13% 1.17% 0.76% 0.49% 0.33% 0.50% 0.33% 0.28% 0.85% 0.88% 1.18% 0.84% 0.67% 0.62% 90.97% 100.00% As of 07/05/2015 (last record date) Ownership (%) 20.69% 6.38% 2.47% 2.45% 1.37% 1.24% 1.11% 0.97% 0.91% 0.89% 050 4.1.5 Net Change in Shareholding by Directors, Management and Shareholders with 10% Shareholdings or More Unit: Share Title Name Chairman Morris Chang Vice Chairman F.C. Tseng Director National Development Fund, Executive Yuan Representative: Johnsee Lee Independent Director Sir Peter Leahy Bonfield Independent Director Stan Shih Independent Director Thomas J. Engibous Independent Director Gregory C. Chow (Note 2) Independent Director Kok-Choo Chen Independent Director Michael R. Splinter (Note 3) President and Co-Chief Executive Officer Mark Liu President and Co-Chief Executive Officer C.C. Wei Senior Vice President and Chief Information Officer Information Technology, Materials Management and Risk Management Stephen T. Tso Senior Vice President, Chief Financial Officer and Spokesperson Finance Lora Ho Senior Vice President Research and Development Wei-Jen Lo Senior Vice President of TSMC and President of TSMC North America Rick Cassidy Vice President Operations/Affiliate Fabs M.C. Tzeng Vice President and Chief Technology Officer Research and Development Jack Sun Vice President Operations/Product Development Y.P. Chin Vice President Quality and Reliability N.S. Tsai Vice President Operations/Mainstream Fabs and Manufacturing Technology J.K. Lin Vice President Operations/300mm Fabs J.K. Wang Vice President Corporate Planning Organization Irene Sun 2015 01/01/2016 ~ 02/29/2016 Net Change in Shareholding Net Change in Shares Pledged (Note 1) Net Change in Shareholding Net Change in Shares Pledged (Note 1) - - - - - - - - - - - - (60,000) (1,900,000) - - - (59,000) (153,000) (18,000) - - - - - - - - - - - - - - - - - - - - - - - - - 220,000 - - - - - - - - - - - - - - - - - (36,000) (22,000) - - - - - - - - - - - - - - - - - - - - - - - - - - - (Continued) 051 2015 01/01/2016 ~ 02/29/2016 4.1.9 Long-term Investment Ownership Ownership by TSMC (1) Ownership by Directors, Managers and Directly/Indirectly Owned Subsidiaries (2) Total Ownership (1) + (2) Shares % Shares % Shares % As of 12/31/2015 Long-term Investment Equity Method: TSMC Partners, Ltd. TSMC Global Ltd. TSMC North America TSMC Europe B.V. TSMC Japan Limited TSMC Korea Limited 988,268,244 5,284 11,000,000 200 6,000 80,000 100% 100% 100% 100% 100% 100% - - - - - - TSMC China Company Limited Not Applicable (Note 1) 100% Not Applicable (Note 1) TSMC Solar Europe GmbH (Note 2) Chi Cherng Investment Co., Ltd. (Note 3) Systems on Silicon Manufacturing Co. Pte. Ltd. Vanguard International Semiconductor Corp. Xintec Inc. Global UniChip Corporation Motech Industries, Inc. (Note 5) 800 36,600,000 313,603 464,223,493 92,778,303 46,687,859 58,319,782 100% 100% 38.79% 28.32% 34.51% 34.84% 11.98% - - - - - 275,893,484 16.83% (Note 4) 32,237,381 11.99% Emerging Alliance Fund, L.P. (Note 6) Not Applicable (Note 1) 99.50% Not Applicable (Note 1) VentureTech Alliance Fund II, L.P. Not Applicable (Note 1) 98.00% Not Applicable (Note 1) VentureTech Alliance Fund III, L.P. Not Applicable (Note 1) 98.00% Not Applicable (Note 1) - - - - - - - - - - - - - - - 988,268,244 5,284 11,000,000 200 6,000 80,000 Not Applicable (Note 1) 800 36,600,000 313,603 740,116,977 125,015,684 46,687,859 58,319,782 Not Applicable (Note 1) Not Applicable (Note 1) Not Applicable (Note 1) 100% 100% 100% 100% 100% 100% 100% 100% 100% 38.79% 45.16% 46.50% 34.84% 11.98% 99.50% 98.00% 98.00% Note 1: Not applicable. These firms do not issue shares. TSMC’s investment is measured as a percentage of ownership. Note 2: In the third quarter of 2015, the Board of Directors of TSMC Solar Ltd. (“TSMC Solar”) approved the dissolution of TSMC Solar North America, Inc. and TSMC Solar Europe GmbH. TSMC Solar North America completed the dissolution in December 2015. Note 3: Pursuant to TSMC Board’s approval on August 11, 2015, TSMC acquired OmniVision Technologies, Inc.’s 100% ownership in Taiwan OmniVision Investment Holding Co. Inc. (“OVT Taiwan”) on November 20, 2015. OVT Taiwan changed its name to Chi Cherng Investment Co., Ltd. afterwards. Note 4: TSMC’s Director, National Development Fund of Executive Yuan, holds 16.72% while other Directors and Management hold 0.11%. Note 5: TSMC Solar ceased manufacturing operations in August 2015. On December 14, 2015, TSMC Solar and TSMC Guang Neng Investment, Ltd. (“TSMC GN”) were merged into TSMC. TSMC succeeded and assumed all the assets, rights, obligations, and liabilities in TSMC Solar and TSMC GN, including the shares of Motech Industries, Inc., held by TSMC Solar. Note 6: Due to the expiration of the investment agreement between Emerging Alliance Fund, L.P. and TSMC, Emerging Alliance Fund, L.P. will complete its liquidation procedures in April 2016. Title Name Vice President Research and Development Burn J. Lin (Note 4) Vice President Research and Development Y.J. Mii Vice President Research and Development Cliff Hou Vice President Business Development Been-Jon Woo Vice President and General Counsel Legal Sylvia Fang Vice President Human Resources Connie Ma Vice President Research and Development Y.L. Wang (Note 5) Net Change in Shareholding Net Change in Shares Pledged (Note 1) Net Change in Shareholding Net Change in Shares Pledged (Note 1) - - (300,000) 40,000 - 10,000 - - - - - - - - - - - 25,000 - - - - - - - - - - Note 1: This refers to the creation of security interest over TSMC shares in favor of creditors, usually in connection with a shareholder’s own financing activities. Note 2: Professor Dr. Gregory C. Chow’s tenure expired on June 9, 2015 because he was not re-elected at the 2015 Annual Shareholders’ Meeting. Note 3: Mr. Michael R. Splinter was elected as TSMC’s independent directors at TSMC’s Annual Shareholders’ Meeting on June 9, 2015. Note 4: Vice President Dr. Burn J. Lin retired, effective November 1, 2015. His shareholding was not disclosed after that date. Note 5: Dr. Y.L. Wang was promoted to Vice President, effective November 10, 2015. His shareholding was disclosed starting from that date. 4.1.6 Stock Trade with Related Party Name Lora Ho Reason of the Transfer Transfer Date Transferee Relation with the Transferer Gifting 05/29/2015 C.H. Liu Spouse Shares Transfer Price 2,000,000 - 4.1.7 Stock Pledge with Related Party: None. 4.1.8 Related Party Relationship among Our 10 Largest Shareholders Common Share Name Current Shareholding Spouse and Minor Shareholding TSMC Shareholding by Nominee Arrangement Shares % Shares ADR-Taiwan Semiconductor Manufacturing Company, Ltd. 5,365,356,963 National Development Fund, Executive Yuan Representative: Johnsee Lee JPMorgan Chase Bank N.A. Taipei Branch in custody for Saudi Arabian Monetary Agency Government of Singapore JPMorgan Chase Bank N.A. Taipei Branch in custody for EuroPacific Growth Fund JPMorgan Chase Bank N.A. Taipei Branch in custody for ABU DHABI Investment Authority Cathay Life Insurance Co., Ltd. Chairman: Hong-Tu Tsai Vanguard Emerging Markets Stock Index Fund, a Series of Vanguard International Equity Index Funds Norges Bank JPMorgan Chase Bank, N.A., Taipei Branch in custody for Stichting Depositary APG Emerging Markets Equity Pool 1,653,709,980 - 641,514,041 635,155,654 354,917,649 320,874,542 287,686,235 252,531,845 235,258,515 230,128,873 20.69% 6.38% - 2.47% 2.45% 1.37% 1.24% 1.11% 0.97% 0.91% 0.89% N/A N/A - N/A N/A N/A N/A N/A N/A N/A N/A % N/A N/A - N/A N/A N/A N/A N/A Not Available N/A N/A N/A Shares N/A N/A - N/A N/A N/A N/A N/A N/A N/A N/A % N/A N/A - N/A N/A N/A N/A N/A N/A N/A N/A As of 07/05/2015 (last record date) Name and Relationship between TSMC’s Shareholders Name Relationship None None None None None None None None None None None None None None None None None None None None None None 052 053 4.1.10 Share Information 4.1.12 Compensation to Directors and Profit Sharing Bonus to Employees TSMC’s earnings per share in 2015 increased 16.2% from 2014 to NT$11.82 per share. The following table details TSMC’s market price, net worth, earnings, and dividends per common share, as well as other data regarding return on investment. Market Price, Net Worth, Earnings, and Dividends Per Common Share Unit: NT$, except for weighted average shares and return on investment ratios Item Market Price Per Share (Note 1) Highest Market Price Lowest Market Price Average Market Price Net Worth Per Share Before Distribution After Distribution Earnings Per Share Weighted Average Shares (thousand shares) Diluted Earnings Per Share Dividends Per Share Cash Dividends Accumulated Undistributed Dividend Return on Investment Price/Earnings Ratio (Note 2) Price/Dividend Ratio (Note 3) Cash Dividend Yield (Note 4) 2014 141.50 100.50 122.53 40.35 35.85 25,930,104 10.18 4.50 - 12.04 27.23 3.7% 2015 01/01/2016 ~ 02/29/2016 154.50 115.00 139.84 47.11 (Note 5) 25,930,380 11.82 (Note 5) 6.00 (Note 5) - 11.83 (Note 5) 23.31 (Note 5) 4.3% (Note 5) 151.00 131.50 141.04 - - - - - - - - - Note 1: Referred to TWSE website Note 2: Price/Earnings Ratio = Average Market Price/ Diluted Earnings Per Share Note 3: Price/Dividend Ratio = Average Market Price/Cash Dividends Per Share Note 4: Cash Dividend Yield = Cash Dividends Per Share/Average Market Price Note 5: Pending for shareholders’ approval 4.1.11 Dividend Policy and Distribution of Earnings TSMC does not pay dividends when there are no profits or retained earnings. TSMC has distributed cash dividends every year to its shareholders since 2004. TSMC intends to maintain a stable and sustainable dividend policy, and will consider raising dividends when the free cash flow is sufficient to cover the previous level of dividend payment and any debt repayment. On February 2, 2016, TSMC’s Board of Directors adopted a proposal recommending distribution of a cash dividend of NT$6 per share as shown in the table below. The proposal will be implemented according to the relevant regulations, upon the approval of shareholders at the Annual Shareholders’ Meeting on June 7, 2016. Proposal to Distribute 2015 Earnings Unit: NT$ Cash Dividends to Common Shareholders (NT$6 per share) 155,582,282,748 Under the Company Law as amended in May 2015, profit sharing bonus to employees has been detached from distribution of company’s earnings. In addition, the newly amended Company Law also requires companies to stipulate in their Articles of Incorporation a fixed amount or ratio of annual profits as profit sharing bonus to employees. TSMC expects to amend its Articles of Incorporation, which is to be approved at the Annual Shareholders’ Meeting on June 7, 2016. Based on the proposed amendment, before paying dividends or bonuses to shareholders, TSMC shall set aside not more than 0.3% of its annual profit to directors as compensation, and not less than 1% to employees as profit sharing bonus. As resolved by TSMC’s Board of Directors on February 2, 2016, profit sharing bonus to employees was expensed based on a certain percentage of 2015 profit; compensation to directors was expensed based on the estimated amount of payment. If the actual amounts subsequently paid differ from the above estimated amounts, the differences will be recorded in the year paid as a change in accounting estimate. 2015 Directors’ Compensation and Employees’ Profit Sharing Bonus Directors’ Compensation (Cash) Employee’s Profit Sharing Bonus (Cash) Total Board Resolution (02/02/2016) Amount (NT$) 356,186,472 20,556,887,786 20,913,074,258 Note: NT$20,556,887,786 employees’ cash bonus has already been distributed following each quarter of 2015. The above employees’ profit sharing bonus will be distributed in the third quarter of 2016. 2014 Directors’ Compensation and Employees’ Profit Sharing Bonus Directors’ Compensation (Cash) Employees’ Profit Sharing Bonus (Cash) Total Board Resolution (02/10/2015) Actual Result (Note) Amount (NT$) 406,853,980 17,645,966,064 18,052,820,044 Amount (NT$) 406,853,980 17,480,829,446 17,887,683,426 Note: The above Directors’ Compensation and Employees’ Profit Sharing Bonus were expensed under the Company’s 2014 statement of comprehensive income and the same amounts were approved by the Board of Directors at its meeting on February 10, 2015. The Employees’ Profit Sharing Bonus was distributed after the approval of the same by shareholders at 2015 Annual Shareholders’ Meeting on June 9, 2015. Due to employee turnover, Employees’ Profit Sharing Bonus in the amount of NT$165,136,618 was undistributed, and related expense was reversed in 2015. 4.1.13 Impact to 2015 Business Performance and EPS Resulting from Stock Dividend Distribution: Not applicable. 4.1.14 Buyback of Common Stock: None. 054 055 4.2 Issuance of Corporate Bonds 4.2.1 Corporate Bonds NTD Corporate Bonds As of 02/29/2016 Issuance Issuing Date Denomination Offering Price Total Amount Coupon Domestic Unsecured Bond (100-1) Domestic Unsecured Bond (100-2) Domestic Unsecured Bond (101-1) Domestic Unsecured Bond (101-2) Domestic Unsecured Bond (101-3) Domestic Unsecured Bond (101-4) Domestic Unsecured Bond (102-1) Domestic Unsecured Bond (102-2) Domestic Unsecured Bond (102-3) Domestic Unsecured Bond (102-4) 09/28/2011 NT$10,000,000 Par 01/11/2012 NT$10,000,000 Par 08/02/2012 NT$10,000,000 Par 09/26/2012 NT$10,000,000 Par 10/09/2012 NT$10,000,000 Par 01/04/2013 NT$10,000,000 Par 02/06/2013 NT$10,000,000 Par 07/16/2013 NT$10,000,000 Par 08/09/2013 NT$10,000,000 Par 09/25/2013 NT$10,000,000 Par NT$18,000,000,000 NT$17,000,000,000 NT$18,900,000,000 NT$21,700,000,000 NT$4,400,000,000 NT$23,600,000,000 NT$21,400,000,000 NT$13,700,000,000 NT$12,500,000,000 NT$15,000,000,000 Tranche A: 1.40% p.a. Tranche B: 1.63% p.a. Tranche A: 1.29% p.a. Tranche B: 1.46% p.a. Tranche A: 1.28% p.a. Tranche B: 1.40% p.a. Tranche A: 1.28% p.a. Tranche B: 1.39% p.a. 1.53% p.a. Tranche A: 1.23% p.a. Tranche B: 1.35% p.a. Tranche C: 1.49% p.a. Tranche A: 1.23% p.a. Tranche B: 1.38% p.a. Tranche C: 1.50% p.a. Tranche A: 1.50% p.a. Tranche B: 1.70% p.a. Tranche A: 1.34% p.a. Tranche B: 1.52% p.a. Tenor and Maturity Date Tranche A: 5 years Maturity: 09/28/2016 Tranche B: 7 years Maturity: 09/28/2018 Tranche A: 5 years Maturity: 01/11/2017 Tranche B: 7 years Maturity: 01/11/2019 Tranche A: 5 years Maturity: 08/02/2017 Tranche B: 7 years Maturity: 08/02/2019 Tranche A: 5 years Maturity: 09/26/2017 Tranche B: 7 years Maturity: 09/26/2019 Tenor: 10 years Maturity: 10/09/2022 Tranche A: 5 years Maturity: 01/04/2018 Tranche B: 7 years Maturity: 01/04/2020 Tranche C: 10 years Maturity: 01/04/2023 Tranche A: 5 years Maturity: 02/06/2018 Tranche B: 7 years Maturity: 02/06/2020 Tranche C: 10 years Maturity: 02/06/2023 Tranche A: 7 years Maturity: 07/16/2020 Tranche B: 10 years Maturity: 07/16/2023 Tranche A: 4 years Maturity: 08/09/2017 Tranche B: 6 years Maturity: 08/09/2019 Tranche A: 1.35% p.a. Tranche B: 1.45% p.a. Tranche C: 1.60% p.a. Tranche D: 1.85% p.a. Tranche E: 2.05% p.a. Tranche F: 2.10% p.a. Tranche A: 3 years Maturity: 09/25/2016 Tranche B: 4 years Maturity: 09/25/2017 Tranche C: 5.5 years Maturity: 03/25/2019 Tranche D: 7.5 years Maturity: 03/25/2021 Tranche E: 9.5 years Maturity: 03/25/2023 Tranche F: 10 years Maturity: 09/25/2023 NT$15,000,000,000 Outstanding Credit Rating Trustee Guarantor Underwriter Legal Counsel Auditor Repayment Redemption or Early Repayment Clause Covenants Other Rights of Bondholders Conversion Right Amount of Converted or Exchanged Common Shares, ADRs or Other Securities NT$18,000,000,000 NT$17,000,000,000 NT$18,900,000,000 NT$21,700,000,000 NT$4,400,000,000 NT$23,600,000,000 NT$21,400,000,000 NT$13,700,000,000 NT$12,500,000,000 twAAA (Taiwan Ratings Corporation, 08/24/2011) twAAA (Taiwan Ratings Corporation, 12/06/2011) twAAA (Taiwan Ratings Corporation, 07/02/2012) Mega International Commercial Bank twAAA (Taiwan Ratings Corporation, 08/23/2012) Taipei Fubon Commercial Bank twAAA (Taiwan Ratings Corporation, 09/04/2012) twAAA (Taiwan Ratings Corporation, 11/29/2012) twAAA (Taiwan Ratings Corporation, 12/18/2012) twAAA (Taiwan Ratings Corporation, 05/16/2013) twAAA (Taiwan Ratings Corporation, 07/15/2013) twAAA (Taiwan Ratings Corporation, 08/06/2013) None Not Applicable Modern Law Office Deloitte & Touche Bullet None None None Not Applicable Dilution Effect and Other Adverse Effects on Existing Shareholders Custodian None None USD Corporate Bonds Issuance Issuing Date Denomination Listing Offering Price Total Amount Coupon Tenor and Maturity Date Guarantor Trustee Underwriter Senior Unsecured Notes (Note) 04/03/2013 US$200,000 and integral multiples of US$1,000 in excess thereof Singapore Exchange 2016 Notes: 99.988% 2018 Notes: 99.933% US$1,500,000,000 2016 Notes: 0.950% p.a. 2018 Notes: 1.625% p.a. 2016 Notes: 3 years Maturity: 04/03/2016 2018 Notes: 5 years Maturity: 04/03/2018 TSMC Citicorp International Limited Goldman Sachs International As of 02/29/2016 Legal Advisor Auditor Repayment Outstanding Jones Day Maples and Calder Deloitte & Touche Bullet US$1,500,000,000 Redemption or Early Repayment Clause At issuer’s option Covenants Credit Rating Limitations on (1) liens and (2) sale and leaseback transactions A1 (Moody’s Investors Service, 03/15/2013) A+ (Standard & Poor’s Rating Services, 03/15/2013) Conversion Right None Other Rights of Bondholders Amount of Converted or Exchanged Common Shares, ADRs or Other Securities Dilution Effect and Other Adverse Effects on Existing Shareholders Custodian Not Applicable None None (Continued) Note: Issued by TSMC’s wholly-owned subsidiary, TSMC Global Ltd., and unconditionally and irrevocably guaranteed by TSMC. 056 057 4.2.2 Convertible Bond: None. 4.2.3 Exchangeable Bond: None. 4.2.4 Shelf Registration: None. 4.2.5 Bond with Warrants: None. 4.3 Preferred Shares 4.3.1 Preferred Share: None. 4.3.2 Preferred Share with Warrants: None. 4.4 Issuance of American Depositary Shares Issuing Date 10/08/1997 11/20/1998 01/12/1999 - 01/14/1999 07/15/1999 08/23/1999 - 09/09/1999 02/22/2000 - 03/08/2000 04/17/2000 06/07/2000 - 06/15/2000 Total Amount (US$) 594,720,000 184,554,440 35,500,000 296,499,641 158,897,089 379,134,599 224,640,000 1,167,873,850 05/14/2001 - 06/11/2001 240,999,660 06/12/2001 11/27/2001 02/07/2002 - 02/08/2002 11/21/2002 - 12/19/2002 297,649,640 320,600,000 1,001,650,000 160,097,914 07/14/2003 - 07/21/2003 908,514,880 11/14/2003 08/10/2005 - 09/08/2005 05/23/2007 1,077,000,000 1,402,036,500 2,563,200,000 Offering Price Per ADS (US$) 24.78 15.26 17.75 24.516 28.964 57.79 56.16 35.75 20.63 20.63 16.03 16.75 8.73 10.40 10.77 8.6 10.68 11,682,000 58,410,000 14,428,000 72,140,000 20,000,000 59,800,000 18,348,000 87,357,200 100,000,000 163,027,500 240,000,000 100,000,000 299,000,000 91,740,000 436,786,000 500,000,000 815,137,500 1,200,000,000 TSMC Common Shares from Selling Shareholders TSMC Common Shares from Selling Shareholders TSMC Common Shares from Selling Shareholders TSMC Common Shares from Selling Shareholders (Pursuant to ADR Conversion Sale Program) TSMC Common Shares from Selling Shareholders (Pursuant to ADR Conversion Sale Program) (Note 3) TSMC Common Shares from Selling Shareholders TSMC Common Shares from Selling Shareholders TSMC Common Shares from Selling Shareholders TSMC Common Shares from Selling Shareholders Units Issued 24,000,000 12,094,000 2,000,000 12,094,000 5,486,000 6,560,000 4,000,000 32,667,800 Common Shares Represented Underlying Securities 120,000,000 60,470,000 10,000,000 60,470,000 27,430,000 32,800,000 20,000,000 163,339,000 TSMC Common Shares from Selling Shareholders TSMC Common Shares from Selling Shareholders TSMC Common Shares from Selling Shareholders TSMC Common Shares from Selling Shareholders TSMC Common Shares from Selling Shareholders (Pursuant to ADR Conversion Sale Program) TSMC Common Shares from Selling Shareholders (Pursuant to ADR Conversion Sale Program) TSMC Common Shares from Selling Shareholders Cash Offering and TSMC Common Shares from Selling Shareholders (Note 3) (Note 4) Apportionment of Expenses for Issuance and Maintenance Issuance and Listing NYSE Rights and Obligations of ADS Holders Same as those of Common Share Holders Trustee Not Applicable Depositary Bank Citibank,N.A.–NewYork Custodian Bank (Note 1) Citibank, N.A. – Taipei Branch ADSs Outstanding (Note 2) As of February 29, 2016, total number of outstanding ADSs was 1,072,635,045. Terms and Conditions in the Deposit Agreement and Custody Agreement See Deposit Agreement and Custody Agreement for Details Closing Price Per ADS (US$) 2015 01/01/2016- 02/29/2016 High Low Average High Low Average 24.63 18.76 22.36 23.96 20.48 22.30 Note 1: Citibank, N.A., Taipei Branch has changed its name to “Citibank Taiwan Limited” on August 1, 2009. Note 2: TSMC has in aggregate issued 813,544,500 ADSs since 1997, which, if taking into consideration stock dividends distributed over the period, would amount to 1,147,835,205 ADSs. Stock dividends distributed in 1998, 1999, 2000, 2001, 2002, 2003, 2004, 2005, 2006, 2007, 2008 and 2009 were 45%, 23%, 28%, 40%, 10%, 8%, 14.08668%, 4.99971%, 2.99903%, 0.49991%, 0.50417% and 0.49998%, respectively. As of February 29, 2016, total number of outstanding ADSs was 1,072,635,045 after 75,200,160 were redeemed. Note 3: All fees and expenses such as underwriting fees, legal fees, listing fees and other expenses related to issuance of ADSs were borne by the selling shareholders, while maintenance expenses such as annual listing fees and accountant fees were borne by TSMC. Note 4: All fees and expenses such as underwriting fees, legal fees, listing fees and other expenses related to issuance of ADSs were borne proportionately by TSMC and the selling shareholders, while maintenance expenses such as annual listing fees and accountant fees were borne by TSMC. 058 059 4.5 Status of Employee Stock Option Plan 4.5.1 Issuance of Employee Stock Options ESOP Granted Approval Date by The Securities & Futures Bureau Issue (Grant) Date Number of Options Granted Percentage of Shares Exercisable to Outstanding Common Shares Option Duration Source of Option Shares Vesting Schedule Shares Exercised Value of Shares Exercised (NT$) Shares Unexercised Original Grant Price Per Share (NT$) Adjusted Exercise Price Per Share (NT$) Percentage of Shares Unexercised to Outstanding Common Shares Impact to Shareholders’ Equity First Grant 06/25/2002 08/22/2002 18,909,700 0.10154% 10 years Second Grant Third Grant 06/25/2002 11/08/2002 1,085,000 0.00583% 10 years 06/25/2002 03/07/2003 6,489,514 0.03485% 10 years Fourth Grant 06/25/2002 06/06/2003 23,090,550 0.12399% 10 years Fifth Grant 10/29/2003 12/03/2003 842,900 0.00416% 10 years Sixth Grant 10/29/2003 02/19/2004 15,720 0.00008% 10 years Seventh Grant Eighth Grant Ninth Grant As of 02/29/2016 10/29/2003 05/11/2004 11,167,817 0.05510% 10 years 10/29/2003 08/11/2004 135,300 0.00058% 10 years 01/06/2005 05/17/2005 10,742,350 0.04620% 10 years New Common Share New Common Share New Common Share New Common Share New Common Share New Common Share New Common Share New Common Share New Common Share 2nd Year: up to 50% 3rd Year: up to 75% 4th Year: up to 100% 2nd Year: up to 50% 3rd Year: up to 75% 4th Year: up to 100% 2nd Year: up to 50% 3rd Year: up to 75% 4th Year: up to 100% 2nd Year: up to 50% 3rd Year: up to 75% 4th Year: up to 100% 2nd Year: up to 50% 3rd Year: up to 75% 4th Year: up to 100% 2nd Year: up to 50% 3rd Year: up to 75% 4th Year: up to 100% 2nd Year: up to 50% 3rd Year: up to 75% 4th Year: up to 100% 2nd Year: up to 50% 3rd Year: up to 75% 4th Year: up to 100% 2nd Year: up to 50% 3rd Year: up to 75% 4th Year: up to 100% 20,585,621 696,435,850 - NT$53.0 NT$25.6 0.00000% 1,416,203 45,875,186 - NT$51.0 NT$24.6 0.00000% 7,584,554 174,820,504 - NT$41.6 NT$20.2 0.00000% 24,838,979 849,375,434 - NT$58.5 NT$28.3 0.00000% 583,111 29,807,359 - NT$66.5 NT$50.1 0.00000% 15,416 744,182 - NT$63.5 NT$47.8 0.00000% 10,344,528 457,708,004 - NT$57.5 NT$43.2 0.00000% 128,014 4,982,968 - NT$43.8 NT$38.0 0.00000% 8,937,082 425,035,008 - NT$54.3 NT$47.2 0.00000% Dilution to Shareholders’ Equity is limited Dilution to Shareholders’ Equity is limited Dilution to Shareholders’ Equity is limited Dilution to Shareholders’ Equity is limited Dilution to Shareholders’ Equity is limited Dilution to Shareholders’ Equity is limited Dilution to Shareholders’ Equity is limited Dilution to Shareholders’ Equity is limited Dilution to Shareholders’ Equity is limited 060 061 4.5.2 Employee Stock Options Granted to Management Team and to Top 10 Employees (Note 1) Title Name Number of Options Granted (Note 2) % of Shares Exercisable to Outstanding Common Shares Exercised Unexercised Shares Exercised Exercise Price Per Share Value of Shares Exercised (NT$) % of Shares Exercised to Outstanding Common Shares Shares Unexercised Adjusted Grant Price Per Share Value of Shares Unexercised (NT$) As of 02/29/2016 % of Shares Unexercised to Outstanding Common Shares Employees President of WaferTech Director of WaferTech Director of WaferTech Director of WaferTech Director of WaferTech Director of WaferTech Deputy Director of WaferTech Sr. Manager of WaferTech Manager of WaferTech Manager of WaferTech Tsung Kuo Wayne Yeh Charlton Ku Men-Chee Chen Felix Tai Kingbird Lin Chang-Ching Kin Richard Thoits Christian Gomez Todd Dalebroux Note 1: Officers were not granted TSMC employee stock options which expire after 2015. Note 2: Number of options granted includes the additional shares due to stock dividends distributed in 2004, 2005, 2006, 2007, 2008 and 2009. 4.6 Status of Employee Restricted Stock TSMC did not issue employee restricted stock in 2015, and as of the date of this Annual Report. 1,249,179 0.00482% 1,249,179 47.2 58,961,249 0.00482% - - - - 4.6.1 Status of Employee Restricted Stock: Not applicable. 4.6.2 Employee Restricted Stock Granted to Management Team and to Top 10 Employees: Not applicable. 4.7 Status of New Share Issuance in Connection with Mergers and Acquisitions TSMC neither issued new shares in connection with mergers or acquisitions during 2015, nor as of the date of this Annual Report. 4.8 Financing Plans and Implementation: Not applicable. 062 063 5. Operational Highlights 5.1 Business Activities 5.1.1 Business Scope As the founder and leader of the dedicated semiconductor foundry segment, TSMC provides a full range of integrated semiconductor foundry services, including the most advanced process technologies, leading specialty technologies, the most comprehensive design ecosystem support, excellent manufacturing productivity and product quality, advanced mask and packaging services, and so on, to fulfill an increasing variety of customer needs. The Company strives to provide the best overall value to its customers and TSMC believes its customers’ success is TSMC’s success. As a result, TSMC has won customer trust from around the world and has experienced strong growth and success. In May 2009, TSMC established the New Businesses organization to explore non-foundry related business opportunities. In 2011, the New Businesses organization was formally separated from the main TSMC organization as two wholly owned subsidiaries, TSMC Solid State Lighting Ltd. (TSMC SSL) and TSMC Solar Ltd., responsible for solid state lighting and solar business activities, respectively. In January 2015, TSMC’s board of directors approved the sale of TSMC Solid State Lighting to Epistar. Upon the closing of the sale, TSMC completely exited the LED industry. In August 2015, TSMC announced that TSMC Solar would cease manufacturing operations by end of that month, as we believed that our solar business was no longer economically sustainable. All outstanding warranties to existing customers will be honored. 5.1.2 Customer Applications TSMC manufactured 8,941 different products for 470 different customers in 2015. These chips were used across the entire spectrum of electronic applications, including computers and peripherals, information appliances, wired and wireless communications systems, automotive and industrial equipment, consumer electronics such as DVDs, digital TVs, game consoles, digital still cameras (DSCs), and many other applications. The rapid evolution of end products drives customers to use TSMC’s innovative technologies and services, while at the same time spurring TSMC’s own development of technology. As always, success depends on leading rather than following industry trends. 5.1.3 Consolidated Shipments and Net Revenue in 2015 and 2014 Unit: Shipments (thousand 12-inch equivalent wafers) / Net Revenue (NT$ thousands) Wafer Others (Note 2) Total Domestic (Note 1) Export Domestic (Note 1) Export Domestic (Note 1) Export 2015 2014 Shipments Net Revenue Shipments Net Revenue 1,588 7,175 N/A N/A 1,588 7,175 100,189,156 702,748,813 5,535,154 35,024,245 105,724,310 737,773,058 1,738 6,525 N/A N/A 1,738 6,525 112,726,728 611,020,808 5,766,553 33,292,376 118,493,281 644,313,184 Note 1: Domestic means sales to Taiwan. Note 2: Others majorly include revenue associated with mask making, design services, and royalties. 064 064 065 065 065 5.1.4 Production in 2015 and 2014 Unit: Capacity / Output (million 12-inch equivalent wafers) / Amount (NT$ millions) Year 2015 2014 Wafers Capacity 9-10 8-9 Output 8-9 8-9 Amount 378,871 426,707 5.2 Technology Leadership 5.2.1 R&D Organization and Investment In 2015, TSMC continued to invest in research and development, with total R&D expenditure amounting to 8% of revenue, a level that equals or exceeds the R&D investment of many other high-tech leaders. TSMC recognizes that the technology challenge of continuing to extend Moore’s Law, the doubling of semiconductor computing power every two years, is becoming increasingly complex. The efforts of the R&D organization are focused on enabling the Company to continuously offer its customers first-to-market, leading-edge technologies and design solutions that contribute to their product success in today’s challenging market environment. In 2015 the R&D organization met these challenges by completing transfer to manufacturing of the industry leading 16FF+ technology, the first integrated technology platform to make use of 3D FinFET transistors. The R&D organization continues to fuel the pipeline of technological innovation needed to maintain leadership. TSMC’s 10nm technology development is on track to meet the goal of production start-up in 2016. TSMC 7nm technology is now in the full development stage, while the 5nm node is under definition and subject to intensive early development efforts. R&D Expenditures Amount: NT$ thousands 9 7 5 , 4 4 5 , 5 6 5 1 8 , 8 2 8 , 6 5 , 5 4 8 3 2 8 9 , 2014 2015 01/01/2016~ 02/29/2016 In addition to CMOS logic, TSMC conducts R&D on a wide range of other semiconductor technologies that provide the functionality customers require for mobile SoC and other applications. Highlights achieved in 2015 include: Chip-On-Wafer-On-Substrate (CoWoS®) technology enhancement to include areas exceeding 1000mm2 in size; full qualification of 3D InFO technology qualification and transfer to manufacturing; Through-Silicon Via (TSV) packaging ramp-up to high volume; development of 0.13µm Bipolar-CMOS-DMOS (BCD) technology for manufacture on 12-inch wafers; the addition of RF capability for 55nm e-Flash technology aimed at IoT applications; qualification for manufacture of a 650V GaN High-Electron-Mobility Transistor (HEMT); and qualification of the 55nm high-voltage process for display drivers TSMC maintains a network of important external R&D partnerships and alliances with world-class research institutions, such as IMEC, the well-respected European R&D consortium, where TSMC is a core partner. TSMC also provides funding for nanotechnology research at leading universities worldwide to promote innovation and the advancement of nano-electronic technology. TSMC has established four joint research centers within Taiwan to include National Taiwan University, National Chao Tung University, National Tsing Hua University, and National Cheng Kung University. The goal of these centers is to develop greater understanding of the devices and materials used in the manufacture of advanced Si technologies. 5.2.2 R&D Accomplishments in 2015 Highlights ● 10nm Technology 10nm technology will offer substantial power reduction for the same chip performance compared to earlier technology generations. Development activities in 2015 focused on manufacturing baseline process setup, design rule fix, yield learning, transistor performance improvement, and process/ product reliability evaluation. Key customers and IP vendors have verified their IP with 10nm technology. 10nm technology began customer product tape-out in the first quarter of 2016. ● 7nm Technology 7nm technology offers substantial density improvement and power reduction with the same chip performance as 10nm technology. Development activities in 2015 focused on manufacturing baseline process setup, yield learning, transistor and interconnect R/C performance improvement and reliability evaluation. TSMC plans to continue 7nm full development in 2016 for risk production in 2017. ● Lithography The main focus for RD lithography in 2015 is 10nm and 7nm development. For 10nm development, the primarily focus is on continuous improvement of overlay control and patterning robustness in preparation for 10nm qualification. As for the 7nm development, new resist material and advanced mask technology were optimized to provide additional patterning enhancement and design rule shrinkage with immersion process. Furthermore, TSMC will take the delivery of newest generation of immersion scanner to meet the tighten overlay control and imaging requirement for 7nm and beyond. In 2015, the EUV program has made significant improvement in laser power and its stability. The stability and improvement in source power has enabled faster learning rate and process development for advanced nodes. In addition, EUV resist process, pellicle, and related mask blank have all made significant progress. The EUV technology is stepping closer to full scale RD and manufacturing readiness for advanced nodes. ● Mask Technology Mask technology is an integral part of our advanced lithography. In 2015, R&D successfully completed the development of mask technology for the 10nm node. This technology is being transferred to the mask production organization. During the same period, solid progress was made on the development of mask technology for EUV lithography, including the reduction of native defects on mask blanks and the fabrication of EUV masks for lithographic processing of sub-10nm nodes. Integrated Interconnect and Packaging ● 3D IC In 2015, TSMC successfully qualified InFO PoP advanced packaging technology, with a non-TSV, low-cost solution for mobile customers. High Volume Manufacturing (HVM) production ramp is expected in 2016. The CoWoS® technology continues to expand its application from Field-Programmable Gate Array (FPGA) to network and to high-performance computing; the interposer size also expands to larger than reticle dimension by CoWoS-XL technology. ● Advanced Package Development TSMC offers a wide variety of lead-free packaging solutions for mobile/handheld devices. In 2015, 10nm FinFET Si with ultra-fine pitch copper bump Bump-on-Trace (BoT) packaging was under development. It is expected to complete the package qualification in the second quarter of 2016. In 2015, a low-cost, innovative and highly reliable 2-mask UBM-Free Integration (UFI) fan-in WLCSP technology is in mass production for die size 5x5mm2, and passed qualification for larger die sizes up to 7x7mm2. ● Advanced Interconnect Development of low-resistance Cu and low-capacitance dielectric continued to be the primary focus in 2015. At the 7nm node, a new patterning process and a novel dielectric scheme have been developed to shrink line width/space and reduce the capacitance between copper lines. A low damage low-k was delivered to reduce capacitance impact. For the 5nm node and beyond, TSMC developed a design-friendly advanced line patterning scheme and processes that allow copper line width and spacing to be further reduced. A new multi-via patterning process was employed to further improve CD uniformity. A low-resistivity metal scheme with ultra-thin barrier was demonstrated with excellent reliability performance. 066 067 Advanced Transistor Research Innovation in transistor architectures and materials has enabled increased speed and reduction of power consumption in advanced logic technologies. TSMC is at the fore-front of transistor research with a focus on devices with high mobility channel materials, such as germanium and III-V compound semiconductors. The Company’s track record in both p- and n- channel germanium transistors, including record-breaking device performance, was highlighted at the 2015 International Electron Device Meeting (IEDM). microphone, MEMS Si-pillar TSV technology, and BioMEMS applications. ● GaN Technology TSMC is the first and only company to offer both 100V and 650V GaN foundry service in a 6-inch fab. In 2015, the R&D team completed 650V E-HEMT development and qualified for manufacture a high electron mobility transistor configuration for high-power, high-frequency applications with low Ron (resistance when on) and high-breakdown voltage. Specialty Technologies TSMC offers a broad mix of technologies to address a wide range of applications: ● Mixed Signal/Radio Frequency (MS/RF) Technology In 2015, TSMC developed a 10nm silicon and EM simulation-based LC-tank design solution to facilitate high-speed SerDes circuit design with various options of metal scheme and layout specifications to shorten design turnaround time. TSMC also offered the IPD-II solution for high-Q (Q>30 @700MHz) inductor and high-precision thin-film resistor for 4G LTE application. In order to achieve better performance in insertion loss and isolation, TSMC further reduced the key parameter Ron-Coff to ~130 fs in 0.18µm SOI process to enable cellar/Wi-Fi RF switch applications as lower-cost alternatives replacing traditional compound semiconductor-based solutions. ● Power IC/BCD Technology The third generation of 0.18µm BCD technology adopted TSMC proprietary device structure, which increased world-leading performance with an even lower cost. With this technology, mobile power management ICs can meet the increasing power demand of mobile devices with higher-power efficiency. ● Panel Drivers 40nm high-voltage low-power process technology was readied for production with plans to complete qualification by the first quarter of 2016. This technology supports Super Retina display driver IC and touch-display driver integration IC for high-end mobile phones. This process was made available for customer tape-outs also in the first quarter of 2016. ● Flash/Embedded Flash Technology TSMC achieved several important milestones in embedded flash technologies in 2015. At the more mature 65nm/55nm node, NOR-based cell technologies, including 1-T cell and Split-Gate cell, were successfully put in production. At the 40nm node, split-gate cell technology completed qualification for consumer electronics applications such as IoT and smartcards and is now undergoing customer product qualification. Embedded flash development on the 28nm low-power and 28nm high-performance mobile computing platforms is underway for low-leakage applications in areas such as automobile electronics and micro controller units (MCU). 5.2.3 Technology Platform TSMC provides customers with advanced technology platforms that include the comprehensive design infrastructure required to optimize design productivity and cycle time. These include: design flows for electronic design automation (EDA); silicon-proven IP building blocks, such as libraries; and simulation and verification design kits, i.e., process design kits (PDK) and technology files. The availability of 10FF saw improvements in design infrastructure using an advanced CPU core as the vehicle to support customers’ adoption of 10nm FinFET (EDA tool certification results can be found on TSMC-Online.). TSMC also extended its IP quality program (TSMC9000) to allow IP audits to be performed either at TSMC or at TSMC-certified laboratories. To help customers plan new product tape-outs incorporating IP/Library from TSMC Open Innovation Platform® (OIP) ecosystem, the OIP ecosystem added a portal to connect customers to an ecosystem of 43 solution providers. ● Micro-electromechanical Systems (MEMS) Technology In 2015, TSMC’s modular MEMS technology was qualified for mass production of accelerometers and a pilot run of high-resolution pressure sensors. Future plans include development of next-generation high-sensitivity thin 5.2.4 Design Enablement TSMC’s technology platforms provide a solid foundation for design enablement. Customers can design directly using the Company’s internally developed IP and tools or using those that are available via our OIP partners. Tech Files and PDKs TSMC provides a broad range of process design kits (PDKs) for digital logic, mixed-signal, radio frequency (RF), high-voltage driver, CMOS Image Sensor (CIS) and embedded flash technologies across a range of technology nodes from 0.5µm to 10nm. In addition, the Company provides technology files for DRC, LVS, RC extraction, automatic place and route, and a layout editor to ensure process technology information is accurately represented in EDA tools. By 2015, TSMC had provided more than 7,500 technology files and more than 200 PDKs via TSMC-Online. There are more than 100,000 customer downloads of these files every year. Library and IP TSMC and its alliance partners offer our customers a rich portfolio of reusable IP, which are essential building blocks for many circuit designs. In 2015, over 60% of new tape-outs at TSMC adopted one or more libraries or IP from TSMC and/ or our IP partners, as the Company expanded its library and silicon IP portfolio to contain more than 10,000 items, an 18% increase over 2014. Design Methodology and Flow In 2015, TSMC addressed critical design challenges associated with the new 10nm FinFET technology for digital and SoC applications by announcing the readiness of reference flows through OIP collaboration that feature FinFET-specific design solutions and methodologies for performance, power and area optimization. 5.2.5 Intellectual Property A strong portfolio of intellectual property rights strengthens TSMC’s technology leadership and protects our advanced and leading edge technologies. In 2015, TSMC received a record breaking 1,768 U.S. patents, as well as 779 issued patents in Taiwan and the PRC, and other patents issued in various other countries. In 2015, TSMC ranked #23 in the “Top 50” U.S. patent grants. TSMC’s patent portfolio now reaches almost 30,000 patents worldwide (including patent applications in queue). We continue to implement a unified strategic plan for TSMC’s intellectual capital management. Strategic considerations and close alignment with the business objectives drive the timely creation, management and use of our intellectual property. At TSMC, we have built a process to extract value from our intellectual property by aligning our intellectual property strategy with our R&D, operations, business objectives, marketing, and corporate development strategies. Intellectual property rights protect our freedom to operate, enhance our competitive position, and give us leverage to participate in many profit-generating activities. We have worked continuously to improve the quality of our intellectual property portfolio and to reduce the costs of maintaining it. We plan to continue investing in our intellectual property portfolio and intellectual property management system to ensure that we protect our technology leadership and receive maximum business value from our intellectual property rights. 5.2.6 TSMC University Collaboration Programs In recent years, TSMC has significantly expanded its interaction with universities in Taiwan with the establishment of four research centers located at the nation’s most prestigious universities. The mission of these centers is twofold: to increase the number of highly qualified students suitable for employment in semiconductor industry, and to inspire university professors to initiate research programs that focus on the frontiers of semiconductor science, including device, process and materials technology, semiconductor manufacturing and engineering science, and specialty technologies for electronic applications. TSMC continues to expand and enhance the research portfolio at the four research centers at National Taiwan University, National Chiao Tung University, National Cheng Kung University and National Tsing Hua University. In 2015, several hundred more high-caliber students joined the research centers with backgrounds representing the disciplines of electronics, physics, materials engineering, chemistry, chemical engineering and mechanical engineering. In addition, TSMC also conducts strategic research projects at top overseas universities, such as Stanford, MIT, UC Berkeley, etc. The focus is on disruptive capabilities in transistors, interconnect, patterning, modeling and special technologies. TSMC University Shuttle Program The TSMC University Shuttle Program was established to provide professors at leading research universities worldwide with access to the advanced silicon process technologies needed to research and develop innovative circuit design concepts. This program links motivated professors and graduate students to enthusiastic managers at TSMC with the goals of promoting excellence in the development of advanced silicon design technologies and nurturing new generations of engineering talent in the semiconductor field. 068 069 The program provides access to TSMC silicon process technologies for digital, analog/mixed-signal circuits, RF designs and micro-electromechanical system designs. Participants in the TSMC University Shuttle Program include major university research groups worldwide. TSMC and the University Shuttle Program participants achieve “win-win” collaboration through the program, which allows graduate students to implement exciting designs and achieve silicon proof points for innovations in various end-applications. 5.2.7 Future R&D Plans To maintain and strengthen TSMC’s technology leadership, the Company plans to continue investing heavily in R&D. In addition to 10nm and 7nm CMOS nodes in the pipeline, the Company’s reinforced exploratory R&D work is on track to establish a solid foundation to feed into technology platforms beyond the 7nm node. The Company’s exploratory work focuses on new transistors and technologies, such as 3D structures, strained-layer CMOS, high-mobility materials and novel 3D IC devices. These studies emphasize innovation and are guided by deep understanding of fundamental physics of nanometer CMOS transistors and related technologies. The Company also continues to collaborate with external research bodies from academia and industry consortia alike with the goal of extending Moore’s Law and paving the road to future cost-effective technologies and manufacturing solutions for its customers. With a highly competent and dedicated R&D team and its unwavering commitment to innovation, TSMC is confident in its ability to deliver the best and most cost-effective SoC technologies to its customers and to drive future business growth and profitability for years to come. Summary of TSMC’s Major Future R&D Projects Project Name Description Risk Production (Estimated Target Schedule) 10nm logic platform technology and applications 3rd generation FinFET CMOS platform technology for SoC 7nm logic platform technology and applications 4th generation FinFET CMOS platform technology for SoC 2016 2017 3D IC Cost-effective solution with better form factor and performance for SiP 2016 ~ 2017 Next-generation lithography Long-term research EUV and multiple e-beam to extend Moore’s Law Specialty SoC technology (including new NVM, MEMS, RF, analog) and transistors for 5nm node and beyond 2016 ~ 2019 2015 ~ 2019 The projects above accounted for roughly 70% of the total R&D budget in 2016, estimated to be around 8% of 2016 revenue. 5.3 Manufacturing Excellence 5.3.1 GIGAFAB® Facilities Maintaining dependable capacity is a key part of TSMC’s manufacturing strategy. The Company currently operates three 12-inch GIGAFAB® facilities – Fab 12, Fab 14, and Fab 15. The combined capacity of the three facilities exceeded six million 12-inch wafers in 2015. Production within these three facilities supports 0.13µm, 90nm, 65nm, 40nm, 28nm, 20nm, and 16nm process technologies, including each technology’s sub-nodes. An additional portion of the capacity is reserved for R&D work on leading-edge manufacturing technologies, which currently supports the technology development of the 10nm node and beyond. TSMC has developed a centralized fab manufacturing management system (Super Manufacturing Platform, SMP) to provide customers with greater benefits in the form of more consistent quality and reliability, improved flexibility to cope with demand fluctuations, faster yield learning and time-to-volume, and lower-cost product requalification. 5.3.2 Engineering Performance Optimization As a professional semiconductor manufacturing and service company with a diversified product portfolio, TSMC’s unique manufacturing system is tailored to achieve production of high complexity with precise control and optimized efficiency. To achieve overall optimization of equipment, process and yield, TSMC has introduced engineering big data analysis and machine learning techniques and has applied statistical process control, advanced equipment control, advanced process control and circuit probing. To satisfy advanced and accurate process control and ensure stable production of high efficiency and effectiveness, the Company employs systems of engineering big data mining and analysis, intelligent tool tuning, and equipment chamber matching. Engineering analysis platform for decision making, integrated with intelligent operating systems to achieve self-diagnosis and self-reactive actions, has produced remarkable results in yield enhancement, workflow improvement, fault detection, cost reduction and R&D cycle decrement. TSMC has further analyzed the correlation between physical measurement, Wafer Acceptance Test (WAT), defect test, Certificate of Assurance (CoA), circuit probe and production-related parameters, aiming to identify critical variables influencing product quality to optimize yield management and fulfill customers’ special process requirements as well as diversified product demand simultaneously. 070 5.3.3 Lean and Intelligent Operations TSMC continues to drive manufacturing excellence through lean and intelligent operations. The Company has developed a lean work in process (WIP) line management system to control WIP levels precisely and equip a flexible demand/capacity modeling system to agilely support customers’ urgent demands and provide customers with accurate on-time delivery and best-in-class cycle time. To continuously improve fab operating efficiency, TSMC has introduced Internet of Things (IoT) and intelligent mobile devices. The new applications help to improve data collecting, yield traceability, material transportation and workflow efficiency, especially at mature fabs. Following its commitment to manufacturing excellence, TSMC has integrated the technology of advanced data analysis, smart diagnostic, self-reactive engine and operation knowledge to revolutionize the fab operation mode from “Auto” to “Intelligent,” optimizing operating efficiency and quality, maximizing cost effectiveness and accelerating overall innovation. 5.3.4 Raw Materials and Supply Chain Risk Management In 2015, TSMC continued to hold review meetings periodically with teams from operations, quality control and business to proactively identify and manage the risks of insufficient supply capacity, quality issues and supply chain interruption. TSMC also worked with its suppliers to enhance performance, quality, delivery and sustainability, as well as to support green procurement, environmental protection and safety. Raw Materials Supply Major Materials Major Suppliers Market Status Procurement Strategy Raw Wafers F.S.T. S.E.H. Siltronic SUMCO SunEdison Chemicals Lithographic Materials Gases Slurry, Pad, Disk Air Products Ampoe Avantor BASF Entegris Hong-Kuang Kanto PPC SAFC Wah Lee Dow JSR Merck Nissan Shin-Etsu Chemical Sumitomo T.O.K. Air Liquide Air Products Entegris Linde LienHwa OCI Materials Taiyo Nippon Sanso 3M Air Products Asahi Glass Cabot Microelectronics Dow Chemical Fujifilm Planar Solutions Fujimi Kinik Sumitomo These five suppliers together provide over 90% of the world’s raw wafer supply. ● TSMC’s suppliers of silicon wafers are required to pass stringent quality certification procedures. Each supplier has multiple manufacturing sites in order to meet customer demand, including plants in North America, Asia, and Europe. ● TSMC procures wafers from multiple sources to ensure adequate supplies for volume manufacturing and to appropriately manage supply risk. ● Raw wafer quality enhancement programs are in place to meet TSMC’s technology advancement. ● TSMC regularly reviews the quality, delivery, cost, sustainability and service performance of its wafer suppliers. The results of these reviews are incorporated into TSMC’s subsequent purchasing decisions. ● A periodic audit of each wafer supplier’s quality assurance system ensures that TSMC can maintain the highest quality in its own products. These nine companies are the major worldwide suppliers of chemicals. ● Most suppliers have relocated some of their operations closer to TSMC’s major manufacturing facilities, thereby significantly improving procurement logistics. ● Each supplier’s products are regularly reviewed to ensure that TSMC’s specifications are met and product quality is satisfactory. These seven companies are the major worldwide suppliers of lithographic materials. ● TSMC works closely with its suppliers to develop materials that meet all application and cost requirements. ● TSMC and suppliers periodically conduct programs to improve their quality, delivery, sustainability and green policy, and to ensure continuous progress of TSMC’s supply chain. ● Some major suppliers have relocated or plan to duplicate their manufacturing sites closer to TSMC’s major manufacturing facilities, thereby significantly improving procurement logistics and reducing supply risks. These six companies are the major worldwide suppliers of specialty gases. ● The majority of the six suppliers are located in different geographic locations, which minimizes supply risk for TSMC. ● TSMC conducts periodic audits to ensure that they meet TSMC’s standards. These nine companies are the major worldwide suppliers of CMP (Chemical Mechanical Polishing) materials. ● TSMC works closely with its suppliers to develop materials that meet all application and cost requirements. ● TSMC and suppliers periodically conduct programs to improve their quality, delivery, sustainability and green policy, and to ensure continuous progress of TSMC’s supply chain. ● Most suppliers have relocated or duplicated their manufacturing sites closer to TSMC’s major manufacturing facilities, thereby significantly improving procurement logistics and reducing supply risks. 071 Suppliers Accounted for at Least 10% of Annual Consolidated Net Procurement Unit: NT$ thousands Supplier Company A VIS Company B Company C Company D Others Total Net Procurement 2015 2014 Procurement Amount As % of 2015 Total Net Procurement Relation to TSMC Procurement Amount As % of 2014 Total Net Procurement Relation to TSMC 7,981,126 7,148,777 6,452,073 5,457,120 4,336,724 22,323,841 53,699,661 15% 13% 12% 10% None Investee accounted for using equity method None None 8% None 42% 100% 8,496,410 7,424,566 6,147,991 4,598,275 5,471,062 18,889,285 51,027,589 17% 14% None Investee accounted for using equity method 12% None 9% None None 11% 37% 100% 5.3.5 Quality and Reliability TSMC’s strong industry reputation stems from its commitment to provide customers with the highest-quality wafers and best service for their products. Quality and Reliability (Q&R) services aim to achieve “quality on demand” to fulfill customers’ needs for time-to-market delivery, reliable quality, and market competitiveness over a broad range of products. Q&R technical services assist customers in the technology developmental stages to design in superior product reliability. Since 2008, Q&R has worked with R&D to successfully establish and implement new qualification methodologies for High-k/Metal Gate (HKMG), and since 2013, for FinFET structures. In May 2012, Q&R began collaborating with Semiconductor Equipment and Material International (SEMI) through a joint IC Quality Committee to enhance product quality of the semiconductor supply chain. Recently, Q&R started working with R&D and the Backend Technology and Service Division to complete the Package-on-Package (PoP) technology development and in 2014 began outsourced production at major assembly and testing houses for mobile product applications. Since then, over-200 million PoP devices have been shipped to customers without major quality issues. In 2014, Q&R conducted a deep-dive audit on new material suppliers for 20nm/16nm advanced technology and announced the incoming material quality requests to enhance the suppliers’ delivery quality. In 2015, Q&R extended the audit scope to include 10nm advanced technology suppliers and encouraged these suppliers to join the National Quality Control Circle Competition for self-initiative quality improvement. Most advanced technology material suppliers have achieved the goal of three sigma process control. Q&R also implemented innovative statistical matching methodologies to enlarge the manufacturing window with better quality control. The scope of the methodology includes raw materials, facilities, metrology and process tools, wafer acceptance test (WAT) data and reliability performance. Since 2011, Q&R tightened the post-fab outgoing visual inspection criteria for wafer quality improvement to Acceptable Quality Level (AQL) 0.4% from AQL 0.65%. To sustain production quality and minimize risk to customers when deviations occur, manufacturing quality monitoring and event management span all critical stages – from raw material supplies, mask making, and real-time in-process monitoring, to bumping, wafer sort and reliability performance. Failure analysis and material and chemical studies play important roles in maintaining TSMC quality. These capabilities are applied from the early stages of process development through assembly and packaging, including analysis of incoming materials, airborne molecular contaminants, in-depth materials characterization for process development and failure analysis of customer returns. In 2015, TSMC continued to invest aggressively in state-of-the-art technology for materials analysis including electron and ion microscopes and surface analysis equipment. This resulted in further improvement in TSMC world-class cycle times and capacity in the area of transmission electron microscopy (TEM). Given the changing needs of our customers and the importance of ensuring the quality of incoming chemicals and materials, TSMC has implemented technologies to improve detection of metal impurities with a stronger emphasis on dynamic fault isolation and design debugging capabilities including the successful launch of our first focused ion beam (FIB) system dedicated to circuit editing. As a result, the Company has improved its ability to detect metal contaminants in incoming chemicals down to the parts-per-trillion level in 2014. Furthermore, in collaboration with customers and suppliers, significant progress has been made in dynamic fault isolation, traditionally a domain of integrated device manufacturers and fabless companies. TSMC improved the efficiency of these types of analyses through automation in 2015. With the growing presence of TSMC in the integrated circuit packaging area, the Company also bolstered failure analysis capabilities for multichip packages. These efforts, including the addition of new capabilities, will continue into 2016. By facilitating fine bump pitch lead-free backend solutions for customer products, TSMC helped achieve compliance with the electronic industry’s lead-free and green IC package policies. By collaborating with the major outsource assembly and testing subcontractors, Q&R qualified and released Cu bump with elongated shape lead-free bumping and Cu bumping. This made possible lead-free Cu bump packages for 16nm FinFET technology products. It also enabled TSMC customers to introduce lead-free 16nm FinFET products with excellent assembly quality, and over 40 million units were delivered without major quality issues in 2015. Q&R also worked with R&D and qualified InFO technology through both component level and board level reliability validation to allow customer product implementation beginning in the fourth quarter of 2015. For mainstream technologies, Q&R qualified extreme low-leakage and high-endurance embedded Flash IP, Integrated Passive Device (IPD), and a hybrid of copper and copper-aluminum technology. Q&R continues to build reliability testing and monitoring to ensure excellent manufacturing quality of specialty technologies on automotive, high-voltage products, CMOS image sensors, embedded-Flash memory and micro-electro-mechanical system products. Q&R is also responsible for leading the Company towards the ultimate goal of zero-defect production through the use of continuous improvement programs. Periodic customer feedback indicates that products shipped from TSMC have consistently met or exceeded their field quality and reliability requirements. In 2015, a third-party audit verified the effectiveness of TSMC quality management systems in compliance with ISO/TS 16949: 2009 and IECQ QC 080000: 2012 certificates requirements. 5.4 Customer Trust 5.4.1 Customers TSMC’s customers worldwide have a variety of successful product specialties and excellent performance records in various segments of the semiconductor industry. Customers include fabless semiconductor companies, systems companies, and integrated device manufacturers such as Advanced Micro Devices, Inc., Broadcom Corporation, Huawei Tech, Marvell Technology Group Ltd., MediaTek Inc., NVIDIA Corporation, NXP Semiconductors N.V., OmniVision Technologies Inc., Qualcomm Inc., Sony Corporation, Spreadtrum Communications, Inc. Texas Instruments Inc., and many more. Customer Service TSMC believes that providing superior service is critical to enhancing customer satisfaction and loyalty, which is very important to retaining existing customers, attracting new customers, and strengthening customer relationships. With a dedicated customer service team as the main contact window for coordination and facilitation, TSMC strives to provide world-class design support, mask making, wafer manufacturing, and backend services to achieve an optimum experience for customers and, in return, to gain customer trust and sustain company profitability. To facilitate customer interaction and information access on a real-time basis, TSMC-Online offers a suite of web-based applications that provide an active role in design, engineering, and logistics collaborations. Customers have 24/7 access to critical information and customized reports. Design Collaboration focuses on content availability and accessibility, with close attention to complete, accurate, and current information at each level of the design life cycle. Engineering Collaboration includes online access to engineering lots, wafer yields, wafer acceptance test (WAT) analysis, and quality reliability data. Logistics Collaboration provides access to data on any given order status in wafer fabrication, backend process, and shipping. Customer Satisfaction To assess customer satisfaction and to ensure that our customer needs are appropriately understood, TSMC conducts the Annual Customer Satisfaction Survey (ACSS) with most active customers, either by web or interview through an independent consultancy. Complementary to the survey, Quarterly Business Reviews (QBRs) are also conducted by the customer service team so that customers can give feedback to TSMC on a regular basis. Through surveys, feedback reviews and intensive interaction with customers, TSMC is able to maintain close touch for better service and collaboration. Customer feedback is routinely reviewed and considered by executives and then developed into appropriate improvement plans, all-in-all becoming an integral part of the customer satisfaction process with a complete closed loop. TSMC has maintained a focus on customer survey data not only to evaluate past performance but also as a base to identify future focus areas. TSMC acts on the belief that customer satisfaction leads to loyalty, and customer loyalty leads to higher levels of retention and expansion. 072 073 Customers that Accounted for at Least 10% of Annual Consolidated Net Revenue Unit: NT$ thousands Customer Customer A Customer B Others Total Net Revenue 2015 2014 Net Revenue As % of 2015 Total Net Revenue Relation to TSMC Net Revenue As % of 2014 Total Net Revenue Relation to TSMC 134,158,421 134,117,206 575,221,741 843,497,368 None None 16% 16% 68% 100% 157,631,427 71,184,627 533,990,411 762,806,465 21% None 9% None 70% 100% 5.4.2 Open Innovation Platform® (OIP) Initiative Innovation has long been both an exciting proposition and a challenge. Competition among semiconductor companies is growing more intense in the face of increasing customer consolidation and the commoditization of technology at more mature, conventional levels. Companies must find ways to continue innovating in order to survive and prosper. One way to accelerate innovation is through active collaboration with external partners. At TSMC this is known as “open innovation”. It is an “outside in” approach to complement traditional “inside out” methods. TSMC has adopted this path to innovate via its Open Innovation Platform® (OIP) initiative, which is a key part of the TSMC Grand Alliance. The OIP initiative is a comprehensive design technology infrastructure that encompasses all critical IC implementation areas to reduce design barriers and improve first-time silicon success. OIP promotes the speedy implementation of innovation amongst the semiconductor design community and its ecosystem partners with TSMC’s IP, design implementation and DFM capabilities, process technology and backend services. A key element of OIP is a set of ecosystem interfaces and collaborative components initiated and supported by TSMC that more efficiently empower innovation throughout the supply chain and, in turn, drive the creation and sharing of newly created revenue and profits. TSMC’s Active Accuracy Assurance (AAA) initiative is critical to OIP, providing the accuracy and quality required by the ecosystem interfaces and collaborative components. TSMC’s Open Innovation model brings together the creative thinking of customers and partners under the common goal of shortening design time, time-to-volume, time-to-market and, ultimately, time-to-revenue. The model features: ● The foundry segment’s earliest and most comprehensive electronic design automation (EDA) certification program, delivering timely design tool enhancement required by new process technologies; ● The foundry segment’s largest, most comprehensive and robust silicon-proven IP (intellectual properties) and library portfolio, and; ● Comprehensive design ecosystem alliance programs covering market-leading EDA, library, IPs, and design service partners. TSMC’s OIP Alliance consists of 23 EDA partners, 43 IP partners, and 25 design service partners. TSMC and its partners work together proactively and engage much earlier and deeper than before in order to address mounting design challenges at advanced technology nodes. Through this early and intensive collaboration effort, TSMC OIP is able to deliver the needed design infrastructure with timely enhancement of EDA tools, early availability of critical IPs and quality design services when customers need them. Taking full advantage of the process technologies once they reach production-ready maturity is critical to customers’ success. In September 2015, TSMC hosted an OIP Ecosystem Forum at the Santa Clara Convention Center in California, with keynote addresses from OIP ecosystem partners as well as TSMC executives. The forum was well attended by both customers and ecosystem partners and demonstrated the value of collaboration through OIP to nurture innovations. TSMC’s OIP Partner Management Portal facilitates communication with our ecosystem partners for efficient business productivity. Designed with an intuitive interface, this portal can be accessed via a direct link from TSMC-Online. 5.5 Employees 5.5.1 Human Capital Human capital is one of TSMC’s most important assets. The Company is committed to providing quality jobs with good compensation, meaningful work, and a safe work environment for its employees. Moreover, TSMC is dedicated to fostering a dynamic, enjoyable work environment. The Company’s efforts in fostering a “Great Place to Work” are highly recognized, and TSMC has received many awards. TSMC believes that all employees should be treated with dignity and respect. The Company is committed to upholding workers’ rights and respects internationally proclaimed human rights, as outlined by the United Nations Universal Declaration on Human Rights and the International Labor Organization’s fundamental conventions on core labor standards. At the end of 2015, TSMC and its subsidiaries had over 45,272 employees worldwide, including 4,669 managers, 19,645 professionals, 3,789 assistants, and 17,169 technicians. The following table summarized TSMC workforce at the end of February 2016: Workforce Structure for TSMC and Its Subsidiaries 2014/12/31 2015/12/31 (Note) 2016/02/29 Job Total Gender Education Managers Professionals Assistant Engineer/ Clerical Technician Male (%) Female (%) Ph.D. Master’s Bachelor’s Other Higher Education High School Average Age (years) Average Years of Service (years) 4,385 18,552 3,530 17,124 43,591 58.0% 42.0% 4.2% 37.9% 26.7% 11.4% 19.8% 34.1 6.9 4,669 19,645 3,789 17,169 45,272 58.7% 41.3% 4.4% 39.2% 26.2% 12.2% 18.0% 34.6 7.5 4,738 19,702 3,797 17,069 45,306 59.0% 41.0% 4.4 % 39.4 % 26.3 % 12.2 % 17.7% 34.8 7.6 Note: The data shown no longer include TSMC Solid State Lighting, which was sold to Epistar Corporation in February 2015. In addition, TSMC Solar ceased manufacturing operations in August 2015 and was merged into TSMC on December 14, 2015. 5.5.2 Recruitment TSMC’s growth depends on the continued contributions of its devoted employees. In order to strengthen growth momentum, the Company is dedicated to recruiting top-notch professionals for all positions available. TSMC is an equal employment opportunity employer and operates on the principles of open-and-fair recruitment. The Company evaluates all candidates according to their qualifications as related to the requirement of each position without regard to race, gender, age, religion, nationality or political affiliation. TSMC’s continuous growth requires constant talent sourcing and recruitment activities to support its business. The Company recruited over 3,800 employees in 2015, including over 2,700 managers, professionals, and administrative staffs, as well as over 1,100 assistants and technicians. 5.5.3 People Development Employee development is an integral and critical factor for the growth of a company and should be systematic, disciplined and planned. TSMC is committed to cultivating a consistent and diverse learning environment. To this end, the Company has initiated the “TSMC Employee Training and Education Procedure” to ensure the Company’s and the individuals’ development objectives can be achieved through the integration of internal and external training resources. Based on the nature of the individual’s job, work performance and career development path, the Individual Development Plan (IDP) is provided. At the same time, TSMC also actively develops talent and creates a high-performance work environment through development programs based on business needs. The Company provides employees a diverse network of learning resources, including on-the-job training, classroom training, e-learning, coaching, mentoring, and job rotation. The Company provides employees with a wide range of on-site general, professional and management training programs. In addition to engaging external experts as trainers, hundreds of TSMC employees are trained to be qualified instructors to deliver their valuable know-how in internal training courses. 074 075 TSMC’s compensation program includes a monthly salary, an employee cash bonus based on quarterly business results, and employees’ profit sharing bonus based on annual profit. meetings, are in place to provide timely support. TSMC’s continuous efforts lie in reinforcing mutual and timely employee communication, based on multiple channels and platforms, which in turn fosters harmonious labor relations and creates a win-win situation for the Company and employees. TSMC’s training programs include: ● New Employee Training: includes basic training and job orientation for new employees. Furthermore, newcomers’ managers and the Company’s well-established Buddy System are in place to support newcomers in their assimilation process in both corporate culture and work requirements. ● General Training: refers to training required by government regulations and/or Company policies, as well as training on general subjects for all employees or employees of different job functions. Training topics include industry-specific safety, workplace health and safety, quality, fab emergency response, languages, and personal effectiveness. ● Professional/Functional Training: provides technical and professional training required by different functions within the Company. TSMC offers training courses on equipment engineering, process engineering, accounting, information technology, and so forth. ● Management Training: programs are tailored to the needs of managers at all levels, including new, experienced, and senior managers; optional courses are also available. ● Direct Labor (DL) Training: enables production line employees to acquire the knowledge, skills and attitudes they need to perform their jobs well and to pass the certification for operating equipment. Training includes DL Skill Training, Technician “Train-the-Trainer” Training, and Manufacturing Leader Training. The purpose of the employee cash bonus and employees’ profit sharing bonus programs is to reward employee contributions appropriately, to encourage employees to work consistently toward ensuring the success of TSMC, and to link employees’ interests with those of TSMC’s shareholders. The Company determines the amount of the cash bonus and employees’ compensation based on operating results and industry practice in the Republic of China. The amount and form of the employee cash bonus and employees’ profit sharing bonus are recommended by the Compensation Committee. In addition, the profit sharing bonus is distributed upon the approval of the Board of Directors. Individual awards are based on each employee’s job responsibility, contribution and performance. In addition to providing employees of TSMC’s overseas subsidiaries with a locally competitive base salary, the Company grants annual bonuses as a part of total compensation. The annual bonuses are granted in line with local regulations, market practices, and the overall operating performance of each subsidiary, to encourage employee commitment and development within the Company. ● Customized Training: programs are tailored to the needs of 5.5.5 Employee Engagement the organization and/or the people development plan. In 2015, TSMC conducted 1,337 internal training sessions, which translated to a companywide total of 770,548 training hours with the participation of 527,553 attendees. Employees on average attended over 17 hours of training with the training expenses reaching NT$85,540,407. Apart from internal training resources, our employees are also subsidized when pursuing external short-term courses, for-credit courses and degrees. 5.5.4 Compensation TSMC provides a diversified compensation program that is competitive externally, fair internally, and adapted locally. TSMC upholds the philosophy of sharing wealth with employees in order to attract, retain, develop, motivate and reward talented employees. With excellent operating performance, employment at TSMC entitles employees to a comprehensive compensation and benefits program above the industry average. Taiwan’s Labor Standards Act and the fundamental convention of International Labour Organization prohibit all forms of forced or compulsory labor. TSMC stands firmly with the protocols and has never forced labor from involuntary persons or menaced them with any penalty. The Company encourages employees to maintain a healthy and well-balanced life while achieving high efficiency and effectiveness at work. To enrich employees’ work experience, TSMC continuously implements programs to enhance their communication, well-being, benefit, recognition and rewards. The various initiatives include the following communication, benefit and recognition programs: Employee Communication TSMC values two-way communication and is committed to keeping communication channels between the management level, subordinates and peers open and transparent. To ensure that employees’ opinions and voices are heard, and their issues are addressed effectively, impartial submission mechanisms, including quarterly labor-management communication A host of two-way communication channels are constructed to maintain the free flow of information between managers and employees, including: ● Regular communication meetings for various levels of managers and employees. ● Periodic employee satisfaction surveys, with follow-up actions based on the survey findings. ● The corporate intranet, myTSMC, an internal website featuring Chairman’s talk, corporate messages, executive interviews, and other activities of interest to employees. ● eSilicon Garden, a website hosting TSMC’s internal electronic publications providing real-time updates on major activities of the Company, as well as inspirational content featuring outstanding teams and individuals. ● Complaints regarding major management, financial, and auditing issues are handled through two channels, both with high level of confidentiality, including the independent Audit Committee and the Ombudsman system led by an appointed Vice President. ● Employee Opinion Box provides a channel for employees to express their suggestions or opinions regarding their work and the overall work environment. ● Fab Caring Circle in each fab addresses the issues related to employees’ work and personal life; the system is dedicated mainly to the Company’s direct labor workers. TSMC Internal Communication Structure Employees Face-to-Face Meeting ● Functional/Work Unit/Skip-Level Announcement Fab/Functional Activity Employee Portal Employee Survey HR Area Service Team Communication Meeting by Request eSilicon Garden Announcement Company-Wide Activity Employee Assistance Program ● Wellness Center ● Counseling Service ● EWC Emergency Assistance Employee Voice Channels ● Ombudsman System ● Internal Audit Committee ● Sexual Harassment Investigation Committee ● Employee Opinion Box ● Fab Caring Circle ● Dadicated Line & SMS Managers of All Levels Human Resources System / Committee Chair Board of Directors and Management Team Core Values are the foundation of our Company. As part of our practice on “Integrity”, we abide by the law and go above and beyond to act in accordance to the spirits of the law. With ‘commitment’, we provide employees with meaning jobs, safe working environment and competitive packages in compensation and benefits. With regards to Labor Union, TSMC respects employees’ rights entitled by global labor standards and local regulations, including UN Global Compact’s Ten Principles and Taiwan’s Labor Union Act. In addition, as a member of the Electronic Industry Citizenship Coalition (EICC), TSMC adopts the EICC Code of Conduct (http://www.tsmc.com/english/csr/eicc_membership.htm) and does not impede employees’ freedom of association. The principle and regulation above not only align with TSMC’s goal, but also provide practical standards and measurement for our implementation. 076 077 The relationship between our management level and employees has been harmonious over the years, thanks to our transparent and effective communication channels; though employees possess the right to form a labor union, no employees have pursued this avenue and issued a request to form one so far, underlying the achievement of the Company’s dedication. The award programs include: ● TSMC Medal of Honor, presented by the Chairman, recognizes those who contribute significantly to the Company’s business performance. ● TSMC Academy recognizes outstanding TSMC scientists and engineers whose individual technical capabilities make significant contributions to the Company. ● Outstanding Engineer Award for each fab and Total Quality 5.5.7 Retirement Policy TSMC’s retirement policy is set according to the Labor Standards Act and Labor Pension Act of the Republic of China. With the Company’s sound financial system, TSMC ensures employees a solid pension contribution and payments, which encourages employees to set long-term career plans and deepens their commitment to TSMC. In 2015 and as of the date of this Annual Report, there have been no losses resulting from labor disputes. Excellence Award recognize employees’ continuous efforts in creating value for the Company. 5.6 Material Contracts Employee Benefit Programs ● Convenient on-site services: cafeterias, laundry services, convenience stores, travel, banking, housing, and commuting assistance – are accessible for employees in the fabs. ● Comprehensive health enhancement and management programs: health enhancement programs include weight control, in-fab clinic and dentist services, smoking cessation, massage service, as well as seminars to raise personal health awareness. Health management programs include post health-exam follow-up activities for abnormal cases, prevention of cerebrovascular disease, ergonomic hazards management, and maternal care and protection. Employee assistance programs include five free annual counseling sessions for mental health and financial/legal issues, with extensions available depending on the individual’s needs. Diverse employee welfare programs: including 76 hobby clubs, 22 speeches covering various topics, Sports Day, and Family Day. In addition, holiday bonuses, marriage bonuses, condolence allowances and emergency subsidies are also available to address employees’ needs. ● Premium Sports Center: a variety of workout facilities available to all employees and their families, as well as exercise sessions conducted by professional instructors. ● Flexible Preschool Service: childcare service, operated to meet employees’ work schedules, is available in three fabs in Hsinchu and Tainan. Employee Recognition TSMC sponsors various internal award programs to recognize outstanding achievements by employees, both individual and as a team level. With these award programs, TSMC aims to encourage continued employee development, which in turn adds to the Company’s competitive advantage. ● Service Award represents TSMC’s appreciation of senior employees’ dedication and commitment to the Company. ● Excellent Instructor Award praises the outstanding performance and contribution of the Company’s internal instructors in training courses for employees. ● Function-wide awards dedicated to innovation, including Idea Forum, and TQE Awards, etc. Apart from corporate-wide awards, in 2015 distinguished TSMC employees continued to be recognized through a host of prestigious external awards, including the Outstanding Engineer Award, the Outstanding Young Engineer Award, and the National Manager Excellence Award. 5.5.6 Retention Continuous growth is a major component of TSMC’s commitment to its stockholders and employees, and the retention of outstanding employees is crucial in fulfilling this commitment. From employee’s initial orientation and adaptation to professional and career development, TSMC works proactively to provide employees with good compensation, innovative, meaningful and enjoyable work, as well as a safe work environment. TSMC continues to dedicating in employee retention, the Company’s total compensation highly surpasses the average compensation among industries. Also, the Company provides surpassing leave programs for long-term retention planning. For example, Ministry of Labor announced the amendment of “Enforcement Rules of the Labor Standards Act“ in 2015: to reduce national holidays from 19 days to 12 days. TSMC remains 19-day national holidays. In 2015, the Company recorded a healthy and manageable turnover rate of 5%. Investment Agreement and Shareholder Agreement Term of Investment Agreement: Effective as of 08/05/2012 Term of Shareholder Agreement: Effective as of 10/31/2012 and may be terminated as provided in the agreement Contracting Party: ASML Holding N.V. (ASML) Summary: TSMC joined the Customer Co-Investment Program of ASML Holding N.V. (ASML) and entered into the investment agreement and shareholder agreement. The agreements include an investment of EUR837,815,664 by TSMC Global to acquire a non-voting 5% in ASML’s equity with a lock-up period of 2.5 years. TSMC Global has acquired the aforementioned equity on October 31, 2012. The lock-up period expired on May 1, 2015 and as of October 8, 2015, all ASML shares have been disposed. Research and Development Funding Agreement Term of Agreement: 10/31/2012 - 12/31/2017 Contracting Party: ASML Holding N.V. (ASML) Summary: TSMC shall provide EUR276 million to ASML’s research and development programs from 2013 to 2017. Note: TSMC is not currently party to any other material contract, other than contracts entered into in the ordinary course of our business. The Company’s “Significant Contingent Liabilities and Unrecognized Commitments” are disclosed in Annual Report section (II), Financial Statements, page 78-79. 078 079 6. Financial Highlights and Analysis 6.1 Financial Highlights 6.1.1 Condensed Balance Sheet Condensed Balance Sheet from 2012 to 2015 (Consolidated) (Note 1) Unit: NT$ thousands Item Current Assets Long-term Investments (Note 2) Property, Plant and Equipment Intangible Assets Other Assets (Note 3) Total Assets Current Liabilities Before Distribution After Distribution Noncurrent Liabilities Total Liabilities Before Distribution After Distribution Equity Attributable to Shareholders of the Parent Capital Stock Capital Surplus Retained Earnings Before Distribution After Distribution Others Equity Attributable to Shareholders of the Parent Before Distribution After Distribution Noncontrolling Interests Total Equity Before Distribution After Distribution 2012 2013 250,325,436 65,717,240 617,562,188 10,959,569 16,790,075 961,354,508 148,473,947 226,247,254 89,786,655 238,260,602 316,033,909 259,244,357 55,675,340 408,411,468 330,638,161 (2,780,485) 720,550,680 642,777,373 2,543,226 723,093,906 645,320,599 358,486,654 89,183,810 792,665,913 11,490,383 11,228,217 2014 (Adjusted) 626,565,639 30,056,279 818,198,801 13,531,510 6,696,857 2015 746,743,991 34,993,583 853,470,392 14,065,880 8,244,452 1,263,054,977 1,495,049,086 1,657,518,298 189,777,934 267,563,785 225,501,958 415,279,892 493,065,743 259,286,171 55,858,626 518,193,152 440,407,301 14,170,306 847,508,255 769,722,404 266,830 847,775,085 769,989,234 201,013,629 317,697,110 247,707,125 448,720,754 565,404,235 259,296,624 55,989,922 705,165,274 588,481,793 25,749,291 1,046,201,111 929,517,630 127,221 1,046,328,332 929,644,851 212,228,594 (Note 4) 222,655,225 434,883,819 (Note 4) 259,303,805 56,300,215 894,293,586 (Note 4) 11,774,113 1,221,671,719 (Note 4) 962,760 1,222,634,479 (Note 4) 080 080 081 081 Note 1: 2012-2013 financial statements are prepared in accordance with 2010 Taiwan-IFRSs version. Starting in 2015, financial statements are prepared in accordance with 2013 Taiwan-IFRSs version; financial statements are adjusted to retrospectively apply newly effected GAAP. Adjustments for the retrospective application of newly effected GAAP for 2014 include a decrease of NT$84,759 thousand in total assets, a decrease of NT$737,344 thousand in total liabilities before distribution and an increase of NT$652,585 thousand in total equity before distribution. Note 2: Long-term investments consist of noncurrent available-for-sale financial assets, held-to-maturity financial assets, financial assets carried at cost and investments accounted for using equity method. Note 3: Other assets consist of deferred income tax assets, refundable deposits, and other noncurrent assets. Note 4: Pending for shareholders’ approval. Condensed Balance Sheet - 2011 (Consolidated)-R.O.C. GAAP Condensed Balance Sheet - 2011 (Unconsolidated)-R.O.C. GAAP Unit: NT$ thousands Item Current Assets Long-term Investments Fixed Assets Other Assets Total Assets Current Liabilities Before Distribution After Distribution Long-term Liabilities Other Liabilities Total Liabilities Before Distribution After Distribution Capital Stock Capital Surplus Retained Earnings Before Distribution After Distribution Cumulative Transaction Adjustments Unrealized Gain/Loss on Financial Instruments Equity Attributable to Shareholders of the Parent Before Distribution After Distribution Minority Interests Total Equity Before Distribution After Distribution Condensed Balance Sheet from 2012 to 2015 (Unconsolidated) (Note 1) Unit: NT$ thousands Item Current Assets Long-term Investments (Note 2) Property, Plant and Equipment Intangible Assets Other Assets (Note 3) Total Assets Current Liabilities Before Distribution After Distribution Noncurrent Liabilities Total Liabilities Before Distribution After Distribution Equity Capital Stock Capital Surplus Retained Earnings Before Distribution After Distribution Others Total Equity Before Distribution After Distribution 2012 205,819,614 139,634,200 586,636,036 6,449,837 13,597,966 952,137,653 144,528,616 222,301,923 87,058,357 231,586,973 309,360,280 259,244,357 55,675,340 408,411,468 330,638,161 (2,780,485) 720,550,680 642,777,373 2011 225,260,396 34,458,504 490,374,916 24,171,126 774,264,942 117,006,687 194,755,355 20,458,493 4,756,211 142,221,391 219,970,059 259,162,226 55,846,357 322,191,155 244,442,487 (6,433,369) (1,172,855) 629,593,514 551,844,846 2,450,037 632,043,551 554,294,883 2015 426,913,080 326,330,737 831,784,912 9,391,418 5,265,368 2013 257,623,763 165,545,159 770,443,494 7,069,456 7,897,131 2014 (Adjusted) 370,949,497 242,395,596 796,684,361 8,996,810 3,935,389 1,208,579,003 1,422,961,653 1,599,685,515 187,195,744 264,981,595 173,875,004 361,070,748 438,856,599 259,286,171 55,858,626 518,193,152 440,407,301 14,170,306 847,508,255 769,722,404 178,261,092 294,944,573 198,499,450 376,760,542 493,444,023 259,296,624 55,989,922 705,165,274 588,481,793 25,749,291 194,299,278 (Note 4) 183,714,518 378,013,796 (Note 4) 259,303,805 56,300,215 894,293,586 (Note 4) 11,774,113 1,046,201,111 929,517,630 1,221,671,719 (Note 4) Unit: NT$ thousands Item Current Assets Long-term Investments Fixed Assets Other Assets Total Assets Current Liabilities Before Distribution After Distribution Long-term Liabilities Other Liabilities Total Liabilities Before Distribution After Distribution Capital Stock Capital Surplus Retained Earnings Before Distribution After Distribution Cumulative Transaction Adjustments Unrealized Gain/Loss on Financial Instruments Total Equity Before Distribution After Distribution 6.1.2 Condensed Statement of Comprehensive Income / Condensed Statement of Income Condensed Statement of Comprehensive Income from 2012 to 2015 (Consolidated) (Note 1) Unit: NT$ thousands (Except EPS: NT$) Item Net Revenue Gross Profit Income from Operations Non-operating Income and Expenses Income before Income Tax Net Income Other Comprehensive Income for the Year, Net of Income Tax Total Comprehensive Income for the Year Net Income (Loss) Attributable to: Shareholders of the Parent Noncontrolling Interests Total Comprehensive Income (Loss) Attributable to: Shareholders of the Parent Noncontrolling Interests Basic Earnings Per Share (Note 2) 2012 506,745,234 244,137,107 181,176,868 499,588 181,676,456 166,123,802 4,252,632 170,376,434 166,318,286 (194,484) 170,521,543 (145,109) 6.42 2013 597,024,197 280,945,507 209,429,363 6,057,759 215,487,122 188,018,937 16,352,248 204,371,185 188,146,790 (127,853) 204,505,782 (134,597) 7.26 2014 (Adjusted) 762,806,465 377,722,016 295,870,309 6,208,048 302,078,357 263,763,958 11,805,021 275,568,979 263,881,771 (117,813) 275,670,991 (102,012) 10.18 2011 158,563,352 129,400,844 454,373,533 19,070,145 761,407,874 109,514,430 187,263,098 18,000,000 4,299,930 131,814,360 209,563,028 259,162,226 55,846,357 322,191,155 244,442,487 (6,433,369) (1,172,855) 629,593,514 551,844,846 2015 843,497,368 410,394,893 320,047,775 30,381,136 350,428,911 306,556,167 (14,714,182) 291,841,985 306,573,837 (17,670) 291,867,757 (25,772) 11.82 Note 1: 2012-2013 financial statements are prepared in accordance with 2010 Taiwan-IFRSs version. Starting in 2015, financial statements are prepared in accordance with 2013 Taiwan-IFRSs version; financial statements are adjusted to retrospectively apply newly effected GAAP. Adjustments for the retrospective application of newly effected GAAP for 2014 include a decrease of NT$12,359 thousand in gross profit, a decrease of NT$19,984 thousand in income from operations, a decrease of NT$16,911 thousand in net income and a decrease of NT$46,054 thousand in total comprehensive income for the year. Note 2: Based on weighted average shares outstanding in each year. Note 1: 2012-2013 financial statements are prepared in accordance with 2010 Taiwan-IFRSs version. Starting in 2015, financial statements are prepared in accordance with 2013 Taiwan-IFRSs version; financial statements are adjusted to retrospectively apply newly effected GAAP. Adjustments for the retrospective application of newly effected GAAP for 2014 include a decrease of NT$82,771 thousand in total assets, a decrease of NT$735,381 thousand in total liabilities before distribution and an increase of NT$652,610 thousand in total equity before distribution. Note 2: Long-term investments consist of held-to-maturity financial assets, financial assets carried at cost and investments accounted for using equity method. Note 3: Other assets consist of deferred income tax assets, refundable deposits, and other noncurrent assets. Note 4: Pending for shareholders’ approval. 082 083 Condensed Statement of Income - 2011 (Consolidated)-R.O.C. GAAP 6.1.3 Financial Analysis Unit: NT$ thousands (Except EPS: NT$) Item Net Sales Gross Profit Income from Operations Non-operating Income and Gains Non-operating Expenses and Losses Interest Revenue Interest Expense Income before Income Tax Net Income Net Income Attributable to Shareholders of the Parent Basic Earnings Per Share (Note) Note: Based on weighted average shares outstanding in each year Condensed Statement of Comprehensive Income from 2012 to 2015 (Unconsolidated) (Note 1) Unit: NT$ thousands (Except EPS: NT$) Item Net Revenue Gross Profit Income from Operations Non-operating Income and Expenses Income before Income Tax Net Income Other Comprehensive Income for the Year, Net of Income Tax Total Comprehensive Income for the Year Basic Earnings Per Share (Note 2) 2012 2013 500,369,525 234,850,311 176,820,141 6,932,246 183,752,387 166,318,286 4,203,257 170,521,543 6.42 591,087,600 271,644,860 204,653,892 11,062,658 215,716,550 188,146,790 16,358,992 204,505,782 7.26 2014 (Adjusted) 757,152,389 366,899,120 290,640,302 10,363,515 301,003,817 263,881,771 11,789,220 275,670,991 10.18 2011 427,080,645 194,069,228 141,557,418 5,358,527 1,768,268 1,479,514 626,725 145,147,677 134,453,260 134,201,279 5.18 2015 837,046,888 397,708,840 313,408,698 36,579,970 349,988,668 306,573,837 (14,706,080) 291,867,757 11.82 Note 1: 2012-2013 financial statements are prepared in accordance with 2010 Taiwan-IFRSs version. Starting in 2015, financial statements are prepared in accordance with 2013 Taiwan-IFRSs version; financial statements are adjusted to retrospectively apply newly effected GAAP. Adjustments for the retrospective application of newly effected GAAP for 2014 include a decrease of NT$12,583 thousand in gross profit, a decrease of NT$19,356 thousand in income from operations, a decrease of NT$17,023 thousand in net income and a decrease of NT$46,150 thousand in total comprehensive income for the year. Note 2: Based on weighted average shares outstanding in each year. Financial Analysis from 2012 to 2015 (Consolidated) (Note 1) Capital Structure Analysis Debts Ratio (%) Long-term Fund to Property, Plant and Equipment (%) Liquidity Analysis Current Ratio (%) Operating Performance Analysis Quick Ratio (%) Times Interest Earned (Times) Average Collection Turnover (Times) Days Sales Outstanding Average Inventory Turnover (Times) Average Inventory Turnover Days Average Payment Turnover (Times) Property, Plant and Equipment Turnover (Times) Total Assets Turnover (Times) Profitability Analysis Return on Total Assets (%) Return on Equity attributable to Shareholders of the Parent (%) Operating Income to Paid-in Capital Ratio (%) Pre-tax Income to Paid-in Capital Ratio (%) Net Margin (%) Basic Earnings Per Share (NT$) Diluted Earnings Per Share (NT$) 2012 24.78 131.63 168.60 142.39 177.92 9.64 37.86 8.38 43.56 19.38 0.91 0.58 19.19 24.68 69.89 70.08 32.78 6.42 6.41 2013 32.88 135.40 188.90 168.57 82.41 9.11 40.06 8.39 43.49 20.01 0.85 0.54 17.11 24.00 80.77 83.11 31.49 7.26 7.26 Cash Flow Cash Flow Ratio (%) 191.93 183.05 Leverage Industry Specific Key Performance Indicator Cash Flow Adequacy Ratio (%) Cash Flow Reinvestment Ratio (%) Operating Leverage Financial Leverage Billing Utilization Rate (%) (Note 3) Advanced Technologies (28-nanometer and below) Percentage of Wafer Sales (%) Sales Growth (%) Net Income Growth (%) 94.71 11.46 2.32 1.01 91 12 18.7 23.9 88.35 12.16 2.40 1.01 91 30 17.82 13.12 2014 (Adjusted) 2015 (Note 2) 30.01 158.16 311.70 278.03 94.34 8.12 44.95 7.42 49.19 19.39 0.95 0.55 19.33 27.86 114.10 116.50 34.58 10.18 10.18 209.70 92.15 13.04 2.15 1.01 97 42 27.77 40.25 26.24 169.34 351.86 319.58 110.84 8.37 43.61 6.49 56.24 20.10 1.01 0.54 19.62 27.04 123.43 135.14 36.34 11.82 11.82 249.67 103.82 13.76 2.26 1.01 93 48 10.58 16.18 Condensed Statement of Income - 2011 (Unconsolidated)-R.O.C. GAAP Note 1: Before 2012, financial statements are prepared in accordance with R.O.C. GAAP. 2012-2013 financial statements are prepared in accordance with 2010 Taiwan-IFRSs version. 2014-2015 financial Unit: NT$ thousands (Except EPS: NT$) Item Net Sales Gross Profit Income from Operations Non-operating Income and Gains Non-operating Expenses and Losses Interest Revenue Interest Expense Income before Income Tax Net Income Basic Earnings Per Share (Note) Note: Based on weighted average shares outstanding in each year 2011 418,245,493 185,560,865 138,905,763 7,287,046 1,484,965 697,196 445,887 144,707,844 134,201,279 5.18 statements are prepared in accordance with 2013 Taiwan-IFRSs version. Note 2: The deviation of 2015 vs. 2014 is less than 20%. No further analysis is required. Note 3: Capacity includes wafers committed by Vanguard and SSMC. *Glossary 1. Capital Structure Analysis (1) Debt Ratio = Total Liabilities / Total Assets (2) Long-term Fund to Property, Plant and Equipment Ratio = (Shareholders’ Equity + Noncurrent Liabilities) / Net Property, Plant and Equipment 2. Liquidity Analysis (1) Current Ratio = Current Assets / Current Liabilities (2) Quick Ratio = (Current Assets - Inventories - Prepaid Expenses) / Current Liabilities (3) Times Interest Earned = Earnings before Interest and Taxes / Interest Expenses 4. Profitability Analysis (1) Return on Total Assets = (Net Income + Interest Expenses * (1 - Effective Tax Rate)) / Average Total Assets (2) Return on Equity Attributable to Shareholders of the Parent = Net Income Attributable to Shareholders of the Parent / Average Equity Attributable to Shareholders of the Parent (3) Operating Income to Paid-in Capital Ratio= Operating Income / Paid-in Capital (4) Pre-tax Income to Paid-in Capital Ratio = Income before Tax / Paid-in Capital (5) Net Margin = Net Income / Net Sales (6) Earnings Per Share = (Net Income Attributable to Shareholders of the Parent - Preferred Stock Dividend) / Weighted Average Number of Shares Outstanding 3. Operating Performance Analysis 5. Cash Flow (1) Average Collection Turnover = Net Sales / Average Trade Receivables (2) Days Sales Outstanding = 365 / Average Collection Turnover (3) Average Inventory Turnover = Cost of Sales / Average Inventory (4) Average Inventory Turnover Days = 365 / Average Inventory Turnover (5) Average Payment Turnover = Cost of Sales / Average Trade Payables (6) Property, Plant and Equipment Turnover = Net Sales / Average Net Property, Plant and Equipment (1) Cash Flow Ratio = Net Cash Provided by Operating Activities / Current Liabilities (2) Cash Flow Adequacy Ratio = Five-year Sum of Cash from Operations / Five-year Sum of Capital Expenditures, Inventory Additions, and Cash Dividend (3) Cash Flow Reinvestment Ratio = (Cash Provided by Operating Activities - Cash Dividends)/ (Gross Property, Plant and Equipment + Long-term Investments + Other Noncurrent Assets + Working Capital) (7) Total Assets Turnover = Net Sales / Average Total Assets 6. Leverage (1) Operating Leverage = (Net Sales - Variable Cost) / Income from Operations (2) Financial Leverage = Income from Operations / (Income from Operations - Interest Expenses) 084 085 Financial Analysis - 2011 (Consolidated)-R.O.C. GAAP Financial Analysis from 2012 to 2015 (Unconsolidated) (Note) Capital Structure Analysis Debts Ratio (%) Liquidity Analysis Long-term Fund to Fixed Assets (%) Current Ratio (%) Quick Ratio (%) Times Interest Earned (Times) Operating Performance Analysis Average Collection Turnover (Times) Days Sales Outstanding Average Inventory Turnover (Times) Average Inventory Turnover Days Average Payment Turnover (Times) Fixed Assets Turnover (Times) Total Assets Turnover (Times) Profitability Analysis Return on Total Assets (%) Return on Equity (%) Operating Income to Paid-in Capital Ratio (%) Pre-tax Income to Paid-in Capital Ratio (%) Net Margin (%) Basic Earnings Per Share (NT$) Diluted Earnings Per Share (NT$) Cash flow Cash Flow Ratio (%) Leverage Industry Specific Key Performance Indicator Cash Flow Adequacy Ratio (%) Cash Flow Reinvestment Ratio (%) Operating Leverage Financial Leverage Billing Utilization Rate (%) (Note) Advanced Technologies (28-nanometer and below) Percentage of Wafer Sales (%) Sales Growth (%) Net Income Growth (%) 2011 18.37 133.06 192.52 170.06 229.27 10.06 36.29 8.75 41.70 18.77 0.97 0.57 18.08 22.30 54.62 56.01 31.48 5.18 5.18 211.60 101.93 11.12 2.50 1.00 91 1 1.8 -17.0 Note: Capacity includes wafers committed by Vanguard and SSMC. *Glossary 1. Capital Structure Analysis (1) Debt Ratio = Total Liabilities / Total Assets (2) Long-term Fund to Fixed Assets Ratio = (Shareholders’ Equity + Long-term Liabilities) / Net Fixed Assets 2. Liquidity Analysis (1) Current Ratio = Current Assets / Current Liabilities (2) Quick Ratio = (Current Assets - Inventories - Prepaid Expenses) / Current Liabilities (3) Times Interest Earned = Earnings before Interest and Taxes / Interest Expenses 3. Operating Performance Analysis (1) Average Collection Turnover = Net Sales / Average Trade Receivables (2) Days Sales Outstanding = 365 / Average Collection Turnover (3) Average Inventory Turnover = Cost of Sales / Average Inventory (4) Average Inventory Turnover Days = 365 / Average Inventory Turnover (5) Average Payment Turnover = Cost of Sales / Average Trade Payables (6) Fixed Assets Turnover = Net Sales / Average Net Fixed Assets (7) Total Assets Turnover = Net Sales / Average Total Assets 4. Profitability Analysis (1) Return on Total Assets = (Net Income + Interest Expenses * (1 - Effective Tax Rate)) / Average Total Assets (2) Return on Equity = Net Income / Average Shareholders’ Equity (3) Operating Income to Paid-in Capital Ratio = Operating Income / Paid-in Capital (4) Pre-tax Income to Paid-in Capital Ratio = Income before Tax / Paid-in Capital (5) Net Margin = Net Income / Net Sales (6) Earnings Per Share = (Net Income - Preferred Stock Dividend) / Weighted Average Number of Shares Outstanding 5. Cash Flow (1) Cash Flow Ratio = Net Cash Provided by Operating Activities / Current Liabilities (2) Cash Flow Adequacy Ratio = Five-year Sum of Cash from Operations / Five-year Sum of Capital Expenditures, Inventory Additions, and Cash Dividend (3) Cash Flow Reinvestment Ratio = (Cash Provided by Operating Activities - Cash Dividends) / (Gross Fixed Assets + Long-term Investments + Other Assets + Working Capital) 6. Leverage (1) Operating Leverage = (Net Sales - Variable Cost) / Income from Operations (2) Financial Leverage = Income from Operations / (Income from Operations - Interest Expenses) Capital Structure Analysis Debt Ratio (%) Long-term Fund to Property, Plant and Equipment Ratio (%) Liquidity Analysis Current Ratio (%) Operating Performance Analysis Quick Ratio (%) Times Interest Earned (Times) Average Collection Turnover (Times) Days Sales Outstanding Average Inventory Turnover (Times) Average Inventory Turnover Days Average Payment Turnover (Times) Property, Plant and Equipment Turnover (Times) Total Assets Turnover (Times) Profitability Analysis Return on Total Assets (%) Return on Equity (%) Operating Income to Paid-in Capital Ratio (%) Pre-tax Income to Paid-in Capital Ratio (%) Net Margin (%) Basic Earnings Per Share (NT$) Diluted Earnings Per Share (NT$) Cash flow Cash Flow Ratio (%) Leverage Cash Flow Adequacy Ratio (%) Cash Flow Reinvestment Ratio (%) Operating Leverage Financial Leverage Analysis of deviation of 2015 vs. 2014 over 20%: Times Interest Earned (Times) increased by 20% mainly due to increase in pre-tax income. 2012 24.32 137.67 142.41 117.49 195.42 9.87 36.98 9.13 39.97 18.22 0.96 0.58 19.45 24.68 68.21 70.88 33.24 6.42 6.41 189.88 93.23 11.36 2.37 1.01 2013 29.88 132.57 137.62 118.35 104.10 9.26 39.40 9.06 40.30 18.55 0.87 0.55 17.58 24.00 78.93 83.20 31.83 7.26 7.26 179.11 86.78 12.32 2.46 1.01 2014 (Adjusted) 26.48 156.24 208.09 171.82 120.82 8.29 44.02 7.90 46.18 18.64 0.97 0.58 20.22 27.86 112.09 116.08 34.85 10.18 10.18 230.29 90.72 13.30 2.19 1.01 2015 23.63 168.96 219.72 186.00 144.41 8.58 42.54 6.87 53.11 19.73 1.03 0.55 20.42 27.04 120.87 134.97 36.63 11.82 11.82 264.94 102.35 13.85 2.31 1.01 Note: Before 2012, financial statements are prepared in accordance with R.O.C. GAAP. 2012-2013 financial statements are prepared in accordance with 2010 Taiwan-IFRSs version. 2014-2015 financial statements are prepared in accordance with 2013 Taiwan-IFRSs version. *Glossary 1. Capital Structure Analysis (1) Debt Ratio = Total Liabilities / Total Assets (2) Long-term Fund to Property, Plant and Equipment Ratio = (Shareholders’ Equity + Noncurrent Liabilities) / Net Property, Plant and Equipment 2. Liquidity Analysis (1) Current Ratio = Current Assets / Current Liabilities (2) Quick Ratio = (Current Assets - Inventories - Prepaid Expenses) / Current Liabilities (3) Times Interest Earned = Earnings before Interest and Taxes / Interest Expenses 3. Operating Performance Analysis (1) Average Collection Turnover = Net Sales / Average Trade Receivables (2) Days Sales Outstanding = 365 / Average Collection Turnover (3) Average Inventory Turnover = Cost of Sales / Average Inventory (4) Average Inventory Turnover Days = 365 / Average Inventory Turnover (5) Average Payment Turnover = Cost of Sales / Average Trade Payables (6) Property, Plant and Equipment Turnover = Net Sales / Average Net Property, Plant and Equipment (7) Total Assets Turnover = Net Sales / Average Total Assets 4. Profitability Analysis (1) Return on Total Assets = (Net Income + Interest Expenses * (1 - Effective Tax Rate))/ Average Total Assets (2) Return on Equity = Net Income / Average Shareholders’ Equity (3) Operating Income to Paid-in Capital Ratio= Operating Income / Paid-in Capital (4) Pre-tax Income to Paid-in Capital Ratio = Income before Tax / Paid-in Capital (5) Net Margin = Net Income / Net Sales (6) Earnings Per Share = (Net Income - Preferred Stock Dividend) /Weighted Average Number of Shares Outstanding 5. Cash Flow (1) Cash Flow Ratio = Net Cash Provided by Operating Activities / Current Liabilities (2) Cash Flow Adequacy Ratio = Five-year Sum of Cash from Operations / Five-year Sum of Capital Expenditures, Inventory Additions, and Cash Dividend (3) Cash Flow Reinvestment Ratio = (Cash Provided by Operating Activities - Cash Dividends)/ (Gross Property, Plant and Equipment + Long-term Investments + Other Noncurrent Assets + Working Capital) 6. Leverage (1) Operating Leverage = (Net Sales - Variable Cost) / Income from Operations (2) Financial Leverage = Income from Operations / (Income from Operations - Interest Expenses) 086 087 Financial Analysis - 2011 (Unconsolidated)-R.O.C. GAAP 6.1.4 Auditors’ Opinions from 2011 to 2015 Capital Structure Analysis Debt Ratio (%) Liquidity Analysis Long-term Fund to Fixed Assets Ratio (%) Current Ratio (%) Quick Ratio (%) Times Interest Earned (Times) Operating Performance Analysis Average Collection Turnover (Times) Days Sales Outstanding Average Inventory Turnover (Times) Average Inventory Turnover Days Average Payment Turnover (Times) Fixed Assets Turnover (Times) Total Assets Turnover (Times) Profitability Analysis Return on Total Assets (%) Return on Equity (%) Operating Income to Paid-in Capital Ratio (%) Pre-tax Income to Paid-in Capital Ratio (%) Net Margin (%) Basic Earnings Per Share (NT$) Diluted Earnings Per Share (NT$) Cash flow Cash Flow Ratio (%) Cash Flow Adequacy Ratio (%) Cash Flow Reinvestment Ratio (%) Operating Leverage Financial Leverage Leverage *Glossary 1. Capital Structure Analysis (1) Debt Ratio = Total Liabilities / Total Assets (2) Long-term Fund to Fixed Assets Ratio = (Shareholders’ Equity + Long-term Liabilities) / Net Fixed Assets 2. Liquidity Analysis (1) Current Ratio = Current Assets / Current Liabilities (2) Quick Ratio = (Current Assets - Inventories - Prepaid Expenses) / Current Liabilities (3) Times Interest Earned = Earnings before Interest and Taxes / Interest Expenses 3. Operating Performance Analysis (1) Average Collection Turnover = Net Sales / Average Trade Receivables (2) Days Sales Outstanding = 365 / Average Collection Turnover (3) Average Inventory Turnover = Cost of Sales / Average Inventory (4) Average Inventory Turnover Days = 365 / Average Inventory Turnover (5) Average Payment Turnover = Cost of Sales / Average Trade Payables (6) Fixed Assets Turnover = Net Sales / Average Net Fixed Assets (7) Total Assets Turnover = Net Sales / Average Total Assets 2011 17.31 142.52 144.79 122.41 325.54 10.40 35.09 9.61 37.97 18.17 1.02 0.57 18.40 22.30 53.60 55.84 32.09 5.18 5.18 217.99 99.13 11.07 2.54 1.00 Year 2011 2012 2013 2014 2015 CPA Hung-Peng Lin, Shu-Chieh Huang Hung-Peng Lin, Shu-Chieh Huang Yi-Hsin Kao, Hung-Wen Huang Yi-Hsin Kao, Hung-Wen Huang Yi-Hsin Kao, Hung-Wen Huang Audit Opinion An Unqualified Opinion An Unqualified Opinion An Unqualified Opinion An Unqualified Opinion An Unqualified Opinion Deloitte & Touche 12F, No. 156, Sec. 3, Min-Sheng E. Rd., Taipei, Taiwan, R.O.C. Tel: 886-2-2545-9988 6.1.5 Audit Committee’s Review Report The Board of Directors has prepared the Company’s 2015 Business Report, Financial Statements, and proposal for allocation of profits. The CPA firm of Deloitte & Touche was retained to audit TSMC’s Financial Statements and has issued an audit report relating to the Financial Statements. The Business Report, Financial Statements, and profit allocation proposal have been reviewed and determined to be correct and accurate by the Audit Committee members of Taiwan Semiconductor Manufacturing Company Limited. According to Article 14-4 of the Securities and Exchange Act and Article 219 of the Company Law, we hereby submit this report. Taiwan Semiconductor Manufacturing Company Limited Chairman of the Audit Committee: Sir Peter Leahy Bonfield 4. Profitability Analysis (1) Return on Total Assets = (Net Income + Interest Expenses * (1 - Effective Tax Rate)) / Average Total Assets (2) Return on Equity = Net Income / Average Shareholders’ Equity (3) Operating Income to Paid-in Capital Ratio = Operating Income / Paid-in Capital (4) Pre-tax Income to Paid-in Capital Ratio = Income before Tax / Paid-in Capital (5) Net Margin = Net Income / Net Sales (6) Earnings Per Share = (Net Income - Preferred Stock Dividend) / Weighted Average Number of Shares Outstanding 5. Cash Flow (1) Cash Flow Ratio = Net Cash Provided by Operating Activities / Current Liabilities (2) Cash Flow Adequacy Ratio = Five-year Sum of Cash from Operations / Five-year Sum of Capital Expenditures, Inventory Additions, and Cash Dividend (3) Cash Flow Reinvestment Ratio = (Cash Provided by Operating Activities - Cash Dividends) / (Gross Fixed Assets + Long-term Investments + Other Assets + Working Capital) 6. Leverage (1) Operating Leverage = (Net Sales - Variable Cost) / Income from Operations (2) Financial Leverage = Income from Operations / (Income from Operations - Interest Expenses) February 2, 2016 6.1.6 Financial Difficulties The Company should disclose the financial impact to the Company if the Company and its affiliated companies have incurred any financial or cash flow difficulties in 2015 and as of the date of this Annual Report: None. 6.1.7 Consolidated Financial Statements and Independent Auditors’ Report along with Parent Company Only Financial Statements and Independent Auditors’ Report Please refer to Annual Report section (II), Financial Statements. 088 089 6.2 Financial Status and Operating Results 6.2.1 Financial Status Consolidated Unit: NT$ thousands Item Current Assets Long-term Investments (Note 2) Property, Plant and Equipment Intangible Assets Other Assets (Note 3) Total Assets Current Liabilities Noncurrent Liabilities Total Liabilities Capital Stock Capital Surplus Retained Earnings Others Equity Attributable to Shareholders of the Parent Total Equity 2015 746,743,991 34,993,583 853,470,392 14,065,880 8,244,452 2014 (Adjusted) (Note 1) 626,565,639 30,056,279 818,198,801 13,531,510 6,696,857 1,657,518,298 1,495,049,086 212,228,594 222,655,225 434,883,819 259,303,805 56,300,215 894,293,586 11,774,113 1,221,671,719 1,222,634,479 201,013,629 247,707,125 448,720,754 259,296,624 55,989,922 705,165,274 25,749,291 1,046,201,111 1,046,328,332 Difference 120,178,352 4,937,304 35,271,591 534,370 1,547,595 162,469,212 11,214,965 (25,051,900) (13,836,935) 7,181 310,293 189,128,312 (13,975,178) 175,470,608 176,306,147 % 19% 16% 4% 4% 23% 11% 6% -10% -3% 0% 1% 27% -54% 17% 17% Note 1: Starting in 2015, financial statements are prepared in accordance with 2013 Taiwan-IFRSs version; financial statements are adjusted to retroactively apply newly effected GAAP. Please refer to 6.1 financial highlights for adjustments for the retrospective application of newly effected GAAP for 2014. Note 2: Long-term investments consist of noncurrent available-for-sale financial assets, held-to-maturity financial assets, financial assets carried at cost and investments accounted for using equity method. Note 3: Other assets consist of deferred income tax assets, refundable deposits, and other noncurrent assets. ● Analysis of Deviation over 20% The increase in other assets was mainly due to increase in deferred income tax assets and other noncurrent assets. The increase in retained earnings was mainly due to net income of 2015, partially offset by distribution of 2014 earnings. The decrease in others was mainly due to reclassification of unrealized gain from available-for-sale financial assets to profit or loss upon disposal, partially offset by increase in currency exchange differences arising from translation of foreign operations in 2015. ● Major Impact on Financial Position The above deviations had no major impact on TSMC’s financial position. ● Future Plan on Financial Position: Not applicable. Unconsolidated Unit: NT$ thousands Item Current Assets Long-term Investments (Note 2) Property, Plant and Equipment Intangible Assets Other Assets (Note 3) Total Assets Current Liabilities Noncurrent Liabilities Total Liabilities Capital Stock Capital Surplus Retained Earnings Others Total Equity 2015 426,913,080 326,330,737 831,784,912 9,391,418 5,265,368 2014 (Adjusted) (Note 1) 370,949,497 242,395,596 796,684,361 8,996,810 3,935,389 1,599,685,515 1,422,961,653 194,299,278 183,714,518 378,013,796 259,303,805 56,300,215 894,293,586 11,774,113 178,261,092 198,499,450 376,760,542 259,296,624 55,989,922 705,165,274 25,749,291 1,221,671,719 1,046,201,111 Difference 55,963,583 83,935,141 35,100,551 394,608 1,329,979 176,723,862 16,038,186 (14,784,932) 1,253,254 7,181 310,293 189,128,312 (13,975,178) 175,470,608 % 15% 35% 4% 4% 34% 12% 9% -7% 0% 0% 1% 27% -54% 17% Note 1: Starting in 2015, financial statements are prepared in accordance with 2013 Taiwan-IFRSs version; financial statements are adjusted to retroactively apply newly effected GAAP. Please refer to 6.1 financial highlights for adjustments for the retrospective application of newly effected GAAP for 2014. Note 2: Long-term investments consist of held-to-maturity financial assets, financial assets carried at cost and investments accounted for using equity method. Note 3: Other assets consist of deferred income tax assets, refundable deposits, and other noncurrent assets. ● Analysis of Deviation over 20% The increase in long-term investments was mainly due to increase in investments accounted for using equity method in 2015. The increase in other assets was mainly due to increase in deferred income tax assets. The increase in retained earnings was mainly due to net income of 2015, partially offset by distribution of 2014 earnings. The decrease in others was mainly due to decrease in unrealized gain from available-for-sale financial assets, partially offset by increase in currency exchange differences arising from translation of foreign operations in 2015. ● Major Impact on Financial Position The above deviations had no major impact on TSMC’s financial position. ● Future Plan on Financial Position: Not applicable. 090 091 6.2.2 Financial Performance Consolidated Unit: NT$ thousands Item Net Revenue Cost of Revenue Gross Profit before Realized Gross Profit on Sales to Associates Realized Gross Profit on Sales to Associates Gross Profit Operating Expenses Other Operating Income and Expenses, Net Income from Operations Non-operating Income and Expenses Income before Income Tax Income Tax Expenses Net Income Other Comprehensive Income, Net of Income Tax Total Comprehensive Income for the Year Total Net Income Attributable to Shareholders of the Parent Total Comprehensive Income Attributable to Shareholders of the Parent 2015 843,497,368 433,117,601 410,379,767 15,126 410,394,893 88,466,500 (1,880,618) 320,047,775 30,381,136 350,428,911 43,872,744 306,556,167 (14,714,182) 291,841,985 306,573,837 291,867,757 2014 (Adjusted) (Note) 762,806,465 385,113,005 377,693,460 28,556 377,722,016 80,849,570 (1,002,137) 295,870,309 6,208,048 302,078,357 38,314,399 263,763,958 11,805,021 275,568,979 263,881,771 275,670,991 Difference 80,690,903 48,004,596 32,686,307 (13,430) 32,672,877 7,616,930 (878,481) 24,177,466 24,173,088 48,350,554 5,558,345 42,792,209 (26,519,203) 16,273,006 42,692,066 16,196,766 % 11% 12% 9% -47% 9% 9% -88% 8% 389% 16% 15% 16% -225% 6% 16% 6% Note: Starting in 2015, financial statements are prepared in accordance with 2013 Taiwan-IFRSs version; financial statements are adjusted to retroactively apply newly effected GAAP. Please refer to 6.1 financial highlights for adjustments for the retrospective application of newly effected GAAP for 2014. ● Analysis of Deviation over 20% Decrease in realized gross profit on sales to associates: The decrease was mainly due to higher sales to associates in the fourth quarter of 2015. Decrease in other operating income and expenses, net: The decrease was mainly due to impairment losses on property, plant and equipment and intangible assets, partially offset by net gain on disposal of property, plant and equipment, gain from lease agreement modification in 2015, and the absence of an impairment loss on noncurrent assets held for sale in 2014. Increase in non-operating income and expenses: The increase was mainly due to higher net gain on disposal of available-for-sale financial assets and interest income in 2015. Decrease in other comprehensive income, net of income tax: The decrease was mainly due to reclassification of unrealized gain from available-for-sale financial assets to profit or loss upon disposal and decrease in currency exchange gains arising from translation of foreign operations in 2015. ● Sales Volume Forecast and Related Information For additional details, please refer to “1. Letter to Shareholders” on pages 2-5 of this Annual Report. ● Major Impact on Financial Performance The above deviations had no major impact on TSMC’s financial performance. ● Future Plan on Financial Performance: Not applicable. Unconsolidated Unit: NT$ thousands Item Net Revenue Cost of Revenue Gross Profit before Realized Gross Profit on Sales to Subsidiaries and Associates Realized Gross Profit on Sales to Subsidiaries and Associates Gross Profit Operating Expenses Other Operating Income and Expenses, Net Income from Operations Non-operating Income and Expenses Income before Income Tax Income Tax Expenses Net Income Other Comprehensive Income, Net of Income Tax Total Comprehensive Income for the Year 2015 837,046,888 439,356,165 397,690,723 18,117 397,708,840 83,953,035 (347,107) 313,408,698 36,579,970 349,988,668 43,414,831 306,573,837 (14,706,080) 291,867,757 2014 (Adjusted) (Note) 757,152,389 390,284,816 366,867,573 31,547 366,899,120 76,267,867 9,049 290,640,302 10,363,515 301,003,817 37,122,046 263,881,771 11,789,220 275,670,991 Difference 79,894,499 49,071,349 30,823,150 (13,430) 30,809,720 7,685,168 (356,156) 22,768,396 26,216,455 48,984,851 6,292,785 42,692,066 (26,495,300) 16,196,766 % 11% 13% 8% -43% 8% 10% -3936% 8% 253% 16% 17% 16% -225% 6% Note: Starting in 2015, financial statements are prepared in accordance with 2013 Taiwan-IFRSs version; financial statements are adjusted to retroactively apply newly effected GAAP. Please refer to 6.1 financial highlights for adjustments for the retrospective application of newly effected GAAP for 2014. ● Analysis of Deviation over 20% Decrease in realized gross profit on sales to subsidiaries and associates: The decrease was mainly due to higher sales to subsidiaries and associates in the fourth quarter of 2015. Decrease in other operating income and expenses, net: The decrease was mainly due to impairment loss on property, plant and equipment recognized in 2015. Increase in non-operating income and expenses: The increase was mainly due to higher share of profits of subsidiaries and associates in 2015. Decrease in other comprehensive income, net of income tax: The decrease was mainly due to increase in share of other comprehensive loss of subsidiaries and associates and decrease in currency exchange gains arising from translation of foreign operations in 2015. ● Sales Volume Forecast and Related Information For additional details, please refer to “1. Letter to Shareholders” on pages 2-5 of this Annual Report. ● Major Impact on Financial Performance The above deviations had no major impact on TSMC’s financial performance. ● Future Plan on Financial Performance: Not applicable. 092 093 6.2.3 Cash Flow Consolidated Unit: NT$ thousands Cash Balance 12/31/2014 Net Cash Provided by Operating Activities in 2015 Net Cash Used in Investing and Financing Activities in 2015 Cash Balance 12/31/2015 Remedy for Liquidity Shortfall Investment Plan Financing Plan 358,449,029 529,879,438 (325,639,537) 562,688,930 None None ● Analysis of Cash Flow NT$529.9 billion net cash generated by operating activities: mainly from net income and depreciation and amortization expenses. NT$217.2 billion net cash used in investing activities: primarily for capital expenditures, partially offset by proceeds from disposal of available-for-sale financial assets. NT$108.4 billion net cash used in financing activities: primarily for payment of cash dividends. ● Remedial Actions for Liquidity Shortfall: As a result of positive operating cash flows and cash on-hand, remedial actions are not required. ● Cash Flow Projection for Next Year: Not applicable. Unconsolidated Unit: NT$ thousands Cash Balance 12/31/2014 Net Cash Provided by Operating Activities in 2015 Net Cash Used in Investing and Financing Activities in 2015 Cash Balance 12/31/2015 Remedy for Liquidity Shortfall Investment Plan Financing Plan 184,859,232 514,772,831 (435,138,480) 264,493,583 None None ● Analysis of Cash Flow NT$514.8 billion net cash generated by operating activities: mainly from net income and depreciation and amortization expenses. NT$254.8 billion net cash used in investing activities: primarily for capital expenditures. NT$180.3 billion net cash used in financing activities: primarily for payment of cash dividends and capital injection in subsidiaries. ● Remedial Actions for Liquidity Shortfall: As a result of positive operating cash flows and cash on-hand, remedial actions are not required. ● Cash Flow Projection for Next Year: Not applicable. 6.2.4 Recent Years Major Capital Expenditures and Impact on Financial and Business Unit: NT$ thousands Plan Actual or Planned Source of Capital Production Facilities, R&D and Production Equipment Cash flow generated from operations Others Total Cash flow generated from operations Total Amount for 2015 and 2014 Actual Use of Capital 2015 2014 538,886,932 253,301,353 285,585,579 7,169,931 546,056,863 4,215,482 257,516,835 2,954,449 288,540,028 Based on capital expenditures listed above and projected for 2016, it is estimated that TSMC’s annual production capacity will increase by approximately 1 million 12-inch equivalent wafers in 2016. 6.2.5 Long-term Investment Policy and Results TSMC’s long-term investments, accounted for under the equity method, were all made for strategic purposes. However, when an investment is no longer of strategic value it may be considered a financial investment. In 2015, the investment gain from these investments amounted to NT$33,694,186 thousand (NT$4,132,128 thousand on a consolidated basis), mainly from the disposal gain of ASML shares. For future investments, TSMC will continue to focus on strategic purposes through prudent assessments. 6.3 Risk Management 6.3.1 Risk Management (RM) Organization Chart Our Board of Directors plays a key role in helping the Company identify and manage economic risks. Our Risk Management organization periodically briefs our Audit Committee on the ever-changing risk environment facing TSMC, the focus of our enterprise risk management, and risk assessment and mitigation efforts. Our Audit Committee’s Chairperson also briefs the Board on such discussion and actions. TSMC and its subsidiaries are committed to proactively and cost effectively integrating and managing strategic, operational, financial and hazardous risks together with potential consequences to operations and financial results. TSMC operates an Enterprise Risk Management (ERM) program based on both its corporate vision and its long-term sustainability, as well as on its responsibility to both industry and society. ERM seeks to provide the appropriate management of risks by TSMC on behalf of all stakeholders. A Risk MAP that considers likelihood and impact severity is applied for identifying and prioritizing corporate risks. Various risk treatment strategies are also adopted in response to identified corporate risks. The Company’s risk management includes the management of “strategic risks,” “operational risks,” ‘’financial risks,” “hazardous risks,” and “risks associated with climate change and non-compliance with environmental and climate related laws and regulations, and other international laws, regulations and accords,” etc. To reduce supply chain risks, TSMC created a cross-functional taskforce comprised of members from fab operations, material management, risk management and quality system management to work with suppliers to develop business continuity plans, and enhance supply chain resilience capability to effectively manage the risks faced by its suppliers. As a result of those efforts, there was no interruption in TSMC’s supply chain in 2015. As TSMC continued to expand production capacity with advanced technology in 2015, seismic protection engineering design, risk treatment practices and green factory projects were initiated and implemented, beginning in the design phase for all new fabs. Board of Directors/ Audit Committee RM Steering Committee Materials Management and Risk Management RM Executive Council RM Program Organization Functions ● RM Steering Committee Consists of functional heads (with Internal Audit head sitting as an observer) Reports to Audit Committee Reviews risk control progress Identifies and approves the prioritized risk lists ● RM Executive Council Consists of representatives from each function Identifies and assesses risks Implements risk control program and ensures effectiveness Improves transparency and how risks are managed ● RM Program Coordinates the RM Working Committee activities Facilitates functional risk management activities Initiates cross function communication for risk mitigation Consolidates ERM reports into the RM Steering Committee 6.3.2 Strategic Risks Risks Associated with Changes in Technology and Industry ● Industry Developments The electronics industries and semiconductor market are cyclical and subject to significant, and often rapid, increases and decreases in product demand. TSMC’s semiconductor foundry business is affected by market conditions in such highly cyclical electronics and semiconductor industries. Variations in order levels from customers may result in volatility in the Company’s revenues and earnings. From time to time, the electronics and semiconductor industries have experienced significant, and sometimes prolonged, periods of downturn and overcapacity. Because TSMC is, and will continue to be, dependent on the 094 095 requirements of electronics and semiconductor companies for its services, periods of downturn and overcapacity in the general electronics and semiconductor industries could lead to reduced demand for overall semiconductor foundry services, including TSMC’s services. If TSMC cannot take appropriate actions such as reducing its costs to sufficiently offset declines in demand, the Company’s revenues, margins, and earnings will suffer during periods of downturn and overcapacity. ● Changes in Technology The semiconductor industry and its technologies are constantly changing. TSMC competes by developing process technologies using increasingly advanced nodes and on manufacturing products with more functions. TSMC also competes by developing new derivative technologies. If TSMC does not anticipate these changes in technologies and rapidly develop new and innovative technologies, or if the Company’s competitors unforeseeably gain sudden access to additional technologies, TSMC may not be able to provide foundry services on competitive terms. In addition, TSMC’s customers have significantly decreased the time in which their products or services are launched into the market. If TSMC is unable to meet these shorter product time-to-market, TSMC risks losing these customers. These factors have also been intensified by the shift of the global technology market to consumer driven products such as mobile devices, and increasing concentration of customers and competition (all further discussed among these risk factors). If TSMC is unable to innovate new technologies that meet the demands of its customers or overcome the above factors, its revenues may decline significantly. Although TSMC has concentrated on maintaining a competitive edge in research and development, if TSMC fails to achieve advances in technologies or processes, it may become less competitive. Regarding the response measures for the above-mentioned risks, please refer to “2.2.4 TSMC Position, Differentiation and Strategy” on page 10-11 of this Annual Report. Risks Associated with Decrease in Demand and Average Selling Price A vast majority of the Company’s revenue is derived from customers who use TSMC’s services in communication devices, personal computers, consumer electronics products and industrial/standard products. Any decrease in the demand for any one of these products may decrease the demand for overall global semiconductor foundry services, including TSMC’s services, and may adversely affect the Company’s revenues. Further, semiconductor manufacturing facilities require substantial investment to construct and are largely fixed cost assets once they are in operation. Because the Company owns most of its manufacturing capacities, a significant portion of TSMC’s operating costs is fixed. In general, these costs do not decline when customer demand or TSMC’s capacity utilization rates drop, and thus declines in customer demand, among other factors, may significantly decrease margins. Conversely, as product demand rises and factory utilization increases, the fixed costs are spread over increased output, which can improve TSMC’s margins. In addition, the historical and current trend of declining average selling prices (“ASP”) of end use applications places downward pressure on the prices of the components that go into such applications. If the ASP of end use applications continues decreasing, the pricing pressure on components produced by the Company may lead to a reduction of TSMC’s revenues, margin and earnings. Risks Associated with Competition The markets for TSMC’s foundry services are highly competitive. TSMC competes with other foundry service providers, as well as integrated device manufacturers that devote a significant portion of their manufacturing capacity to foundry operations. Some of these companies may have access to more advanced technologies and greater financial resources than TSMC, such as the possibility of receiving direct or indirect government bailout, economic stimulus funds, or other incentives that may be unavailable to TSMC. The Company’s competition may, from time to time, also decide to undertake aggressive pricing initiatives in one or several technology nodes. Increases in these competitive activities may decrease TSMC’s customer base, its ASP, or both. If TSMC is unable to compete with any and each of these new competitors with better technologies and manufacturing capacity and capabilities, TSMC risks losing customers to these new contenders. Risks Associated with Changes in the Government Policies and Regulatory Environment TSMC management closely monitors all domestic and foreign governmental policies and regulations that might impact TSMC’s business and financial operations. As of February 29, 2016, the following changes or developments in governmental policies and regulations may influence the Company’s business operations: The Taiwan Financial Supervisory Commission (FSC) requires listed companies, starting from January 1, 2015, to prepare their consolidated financial statements in accordance with the 2013 version of following FSC endorsed standards and interpretations: “International Financial Reporting Standards,” “International Accounting Standards,” and relevant Interpretations (collectively, “2013 Taiwan-IFRSs version”). TSMC has already prepared its 2015 annual and interim consolidated financial statements in accordance with 2013 Taiwan-IFRSs version and the Guidelines Governing the Preparation of Financial Reports by Securities Issuers. Since the “Labor Safety and Health Act” of Taiwan was amended and renamed the “Occupational Safety and Health Act” in July 2013, the Ministry of Labor has been revising and publishing regulations regarding chemicals management in 2015, including the “Regulation of New Chemical Substances Registration” and the “Regulation of Controlled Chemicals Designation and Operation Permission”. Over the years, TSMC has consistently maintained a safe and healthy work environment with robust protective measures in place, and has taken robust measures to comply with these laws and regulations. With respect to environmental laws, the “Water Pollution Control Act” was amended in February 2015 and related regulations such as the “Water Pollution Control Act Enforcement Rules” and the “Water Pollution Control Measures and Test Reporting Management Regulations” were also amended and published accordingly. TSMC has been a leader on waste water treatment and has taken proper measures in compliance with latest laws and regulations. In addition, the “Greenhouse Gas Reduction and Management Act” was published in July 2015 in Taiwan in response to climate change, and related regulations are expected to be released over the next three years. TSMC has been implementing various long-term energy saving and carbon reduction programs since 2000 and will keep track of regulatory updates to ensure our compliance with these laws and regulations. In September 2015, the Taiwan government relaxed its rules to allow semiconductor companies to establish 12-inch wafer fabs in mainland China through sole proprietorship. To seize the business opportunities in China, TSMC has submitted its investment application to the Investment Commission of Ministry of Economic Affaires (MOEA) for approval in December 2015 to set up a 12-inch wafer manufacturing facility and a design service center in Nanking. Other than the above laws and regulations, it is not expected that other governmental policies or regulatory changes would materially impact TSMC’s operations and financial condition. 6.3.3 Operational Risks Risks Associated with Capacity Expansion TSMC performs long-term market demand forecast for its products and services to manage its overall capacity. Recently, TSMC has been adding capacity to its 300mm wafer fabs in the Hsinchu Science Park, Southern Taiwan Science Park and Central Taiwan Science Park, based on its market demand forecast. Expansion of the Company’s capacity will increase its costs. For example, the Company will need to purchase additional equipment, hire additional personnel and train personnel to operate the new equipment. If the increased capacity cannot be utilized effectively, TSMC’s financial performance may be adversely affected by these increased costs. In order to mitigate the risk associated with capacity expansion, TSMC continuously watches the change of market conditions and works closely with its customers. When market demand is not as expected, the Company will adjust its capacity plans in a timely manner to reduce the impact on its financial performance. Risks Associated with Sales Concentration Over the years, TSMC’s customer profile and the nature of its customers’ businesses have changed dramatically. While it generates revenue from hundreds of customers worldwide, TSMC’s ten largest customers accounted for approximately 63% of net revenue in both 2014 and 2015, respectively. The Company’s largest customer accounted for approximately 21% and 16% of net revenue in 2014 and 2015, respectively. The Company’s second largest customer in 2015 accounted approximately for 16% of our net revenue, with approximately 9% in 2014. This customer concentration results in part from the changing dynamics of the electronics industry with the structural shift to mobile devices and applications and software that provide the content for such devices. There are only a limited number of customers who are successfully exploiting this new business model paradigm. Also, in order to respond to the new business model paradigm, TSMC has seen the change of nature in its customers’ business models. For example, there is a growing trend toward the rise of system houses that operate in a manner that makes their products and services more marketable in a changing consumer market. Also, since the global semiconductor industry is becoming increasingly competitive, some of our customers have engaged in industry consolidations in order to remain competitive. Such consolidations have taken the form of mergers and acquisitions. If more of our major customers consolidate, this will further decrease the overall number of our customer pool. The loss of, or significant curtailment of, purchases by one or more of the Company’s top customers, including curtailment due to increased competitive pressures, industry consolidation, a change in their designs, or change in their manufacturing sourcing policies, or practices of these customers, or the timing of customer or distributor inventory 096 097 adjustments, or change in its major customers’ business models may adversely affect TSMC’s results of operations and financial condition. TSMC maintains a close watch on these trends and works closely with its customers to respond to these changes and to strengthen the Company’s market position. Risks Associated with Purchase Concentration ● Raw Materials TSMC’s production operations require that it obtains adequate supplies of raw materials, such as silicon wafers, gases, chemicals and photoresist, on a timely basis. In the past, shortages in the supply of some materials, whether by specific vendors or by the semiconductor industry generally, have resulted in occasional industry-wide price adjustments and delivery delays. In addition, major natural disasters, political or economic turmoil occurring within the country of origin of such raw materials may also significantly disrupt the availability of such raw materials or increase their prices. Also, since TSMC procures some raw materials from sole-source suppliers, there is a risk that the need for such raw materials may not be met or that back-up supplies may not be readily available. TSMC revenue and earnings could decline if the Company is unable to obtain adequate supplies of the necessary raw materials in a timely manner or if there are significant increases in the costs of raw materials that the Company cannot pass on to customers. To reduce the supply chain risk and to manage the cost actively, TSMC is committing resources toward developing new supply sources. In addition, TSMC continually encourages its suppliers to reduce their supply chain risk by decentralizing production plants, and to intensify their cost competitiveness by moving their production site to Taiwan from higher-cost areas. In the meantime, being aware of the risk of fewer back-up suppliers, TSMC is engaging early and deeply with suppliers on managing quality, and capacity issues because ramping at unprecedented speed leaves TSMC with very little time to re-tune its process. At leading technology nodes, TSMC requires world-class material quality, manufactured at world-class facilities, with world-class processes. In regard to streamlining the supply chain risk management, TSMC intensifies supplier site audits and extends supply chain best practices to suppliers’ suppliers to mitigate capacity and quality risks. Moreover, TSMC continually refines its planning system and enhances demand forecast alignments with critical suppliers for adequate supply capacity planning, especially for steep ramping of new nodes. TSMC developed a Supply Chain Risk Assessment for critical suppliers to put in place requirements on regulatory compliance, environmental impact and social responsibility. Any regulatory violations or any environmental impact event as well as failure of meeting TSMC’s expectation in sustainability requirements may result in business reduction or termination. ● Equipment The Company’s operations and ongoing expansion plans depend on its ability to obtain an appropriate amount of equipment and related services from a limited number of suppliers in a market that is characterized from time to time by limited supply and long delivery cycles. During such times, supplier-specific or industry-wide lead times for delivery can be as long as six months or more. To better manage its supply chain, the Company has implemented various business models and risk management contingencies with suppliers to shorten the procurement lead time. Further, the growing complexities, especially in next-generation lithographic technologies, may delay the timely availability of the equipment and parts needed to exploit time-sensitive business opportunities and also increase the market price for such equipment and parts. If TSMC is unable to obtain equipment in a timely manner to fulfill its customers’ demands on technology and production capacity, or at a reasonable cost, its financial condition and results of operations could be negatively Impacted. Risks Associated with Intellectual Property Rights The Company’s ability to compete successfully and to achieve future growth will depend in part on the continued strength of its intellectual property portfolio. While TSMC actively enforces and protects its intellectual property rights, there can be no assurance that its efforts will be adequate to prevent the misappropriation or improper use of its proprietary technologies, software, trade secrets or know-how. Also, the Company cannot assure that, as its business or business models expand into new areas, it will be able to develop independently the technologies, patents, software, trade secrets or know-how necessary to conduct its business or that it can do so without unknowingly infringing the intellectual property rights of others. As a result, TSMC may have to rely on, to a certain degree, licensed technologies and patent licenses from others. To the extent that the Company relies on licenses from others, there can be no assurance that it will be able to obtain any or all of the necessary licenses in the future on terms it considers reasonable or at all. The lack of necessary licenses could expose TSMC to claims for damages and/or injunctions from third parties, as well as claims for indemnification by its customers in instances where it has contractually agreed to indemnify its customers against damages resulting from infringement claims. TSMC has received, from time-to-time, communications from third parties asserting that TSMC’s technologies, its manufacturing processes, or the design of the semiconductors made by TSMC or the use of those semiconductors by its customers may infringe their patents or other intellectual property rights. Because of the nature of the industry, the Company may continue to receive such communications in the future. These assertions have at times resulted in litigation. Recently, there has been a notable increase in the number of assertions made and lawsuits initiated by certain litigious, non-practicing entities and these litigious, non-practicing entities are also becoming more aggressive in their monetary demands and requests for court-issued injunctions. Such lawsuits or assertions may increase TSMC’s cost of doing business and may potentially be extremely disruptive if these non-practicing entities succeed in blocking the trade of products and services offered by TSMC. The Company has or expanding its manufacturing operations into certain offshore jurisdictions. To mitigate the risk of intellectual property misappropriation, TSMC has implemented heightened safeguards against such misappropriation. If TSMC fails to obtain or maintain certain technologies or intellectual property licenses (or fail to prevent our intellectual property from being misappropriated) and, if litigation relating to alleged intellectual property matters occurs, it (i) could prevent the Company from manufacturing particular products or selling particular services or applying particular technologies; and (ii) reduce our ability to compete effectively against entities benefiting from our misappropriated intellectual property, which could reduce its opportunities to generate revenue. TSMC has taken related measures to minimize potential loss of shareholder value arising from intellectual property claims and litigation filed against the Company. These measures include: strategically obtaining licenses from certain semiconductor and other technology companies as needed; timely securing intellectual property rights for defensive and/or offensive protection of TSMC technology and business; and aggressively defending against baseless litigation. Risks Associated with Litigation As is the case with many companies in the semiconductor industry, TSMC has received from time-to-time communications from third parties asserting that its technologies, its manufacturing processes, or the design of the semiconductors made by TSMC or the use of those semiconductors by its customers may infringe upon their patents or other intellectual property rights. These assertions have at times resulted in litigation by or against the Company and settlement payments by the Company. Irrespective of the validity of these claims, TSMC could incur significant costs in the defense thereof or could suffer adverse effects on its operations. In June 2010, Keranos, LLC. filed a complaint in the U.S. District Court for the Eastern District of Texas alleging that TSMC, TSMC North America, and several other leading technology companies infringe three expired U.S. patents. In response, TSMC, TSMC North America, and several co-defendants in the Texas case filed a lawsuit against Keranos in the U.S. District Court for the Northern District of California in November 2010, seeking a judgment declaring that they did not infringe the asserted patents, and that those patents were invalid. These two litigations have been consolidated into a single lawsuit in the U.S. District Court for the Eastern District of Texas. In February 2014, the Court entered a final judgment in favor of TSMC, dismissing all of Keranos’ claims against TSMC with prejudice. Keranos appealed the final judgment to the U.S. Court of Appeals for the Federal Circuit, and in August 2015, the Federal Circuit remanded the case back to the Texas court for further proceedings. The outcome cannot be determined at this time. In December 2010, Ziptronix, Inc. filed a complaint in the U.S. District Court for the Northern District of California accusing TSMC, TSMC North America and one other company of infringing several U.S. patents. In September 2014, the Court granted summary judgment of noninfringement in favor of TSMC and TSMC North America. Ziptronix, Inc. can appeal the Court’s order. In August 2015, Tessera Technologies, Inc. announced it had acquired Ziptronix. The outcome cannot be determined at this time. In September 2013, Zond Inc. filed a complaint in U.S. District Court for the District of Massachusetts against TSMC, certain TSMC subsidiaries and other companies alleging infringing of several U.S. patents. Subsequently, TSMC and Zond initiated additional legal actions in the U.S. District Courts for the District of Delaware and the District of Massachusetts over several additional patents owned by Zond. In March 2015, all pending litigations between the parties in the U.S. District Courts for the District of Massachusetts and the District of Delaware were dismissed. In March 2014, DSS Technology Management, Inc. (DSS) filed a complaint in the U.S. District Court for the Eastern District of Texas alleging that TSMC, TSMC North America, TSMC Development and several other companies infringe 098 099 one U.S. patent. TSMC Development has subsequently been dismissed. In May 2015, the Court entered a final judgment of noninfringement in favor of TSMC and TSMC North America. DSS has appealed the final judgment to the U.S. Court of Appeals for the Federal Circuit. In November 2015, the Patent Trial and Appeal Board (PTAB) determined after concluding an Inter Partes Review that the patent claims asserted by DSS in the District Court litigation are unpatentable. DSS appealed the PTAB’s decision in January 2016. The outcome cannot be determined at this time. Other than the matters described above, TSMC was not involved in any other material litigation in 2015 and is not currently involved in any other material litigation. Risks Associated with Mergers and Acquisitions During 2015 and as of the date of this Annual Report, there were no such risks for TSMC. Risks Associated with Recruiting Qualified Personnel The Company relies on the continued services and contributions of its executive officers and skilled technical and other personnel. TSMC’s business could suffer if we lose, for whatever reasons, the services and contributions of some of these personnel and we cannot adequately replace them. We may be required to increase or reduce the number of employees in connection with any business expansion or contraction, in accordance with market demand for our products and services. Since there is intense competition for the recruitment of these personnel, we cannot ensure that we will be able to fulfill our personnel requirements in a timely manner during an economic upturn. Future R&D Plans and Expected R&D Spending For additional details, please refer to “5.2.7 Future R&D Plans” on page 70 of this Annual Report. Changes in Corporate Image and Impact on Company’s Crisis Management TSMC has established an excellent corporate image around the world based on its core values of “Integrity, Commitment, Innovation, and Customer Trust,” as well as its outstanding operations, rigorous corporate governance, and dedication to corporate social responsibility to pursue sustainable development, equality and justice, and a harmonious society to live and work. in 2015. Amid TSMC’s continuing efforts to be a better corporate citizen and carry out its social responsibilities, the Company was not only selected as a component of the Dow Jones Sustainability Indices (DJSI) for a 15th consecutive year, but also recognized by the DJSI as the Semiconductors and Semiconductor Equipment Industry Group Leader for a third consecutive year, and led the group in 10 out of 21 categories, including operational eco-efficiency, product stewardship, supply chain management, human capital development, and talent attraction and retention, further strengthening the Company’s public reputation. In addition, TSMC’s awards in 2015 include the Taiwan Institute for Sustainable Energy 2015 Taiwan Corporate Sustainability Award “Gold Medal For Sustainability Report” and “Sustainable Water Management Award”; the Taiwan Stock Exchange’s first Corporate Governance Award for listed companies, No. 1 in the R.O.C. Ministry of Economic Affairs “Top 20 Innovative Taiwan Companies”; No. 1 in the IEEE Spectrum’s “Patent Power Scorecard” for the Semiconductor Manufacturing sector, The R.O.C. Ministry of Economic Affairs Industrial Development Bureau “Green Factory Label”; The R.O.C. Environmental Protection Administration “Annual Enterprise Environmental Protection Award”; The R.O.C. Environmental Protection Administration “Energy Conservation and Carbon Reduction Action Mark”; The R.O.C. Environmental Protection Administration “Enterprise Green Procurement Award”; The R.O.C. Ministry of Economic Affairs “Excellence in Carbon Reduction Award” and “Excellence in Water Conservation Award”; The R.O.C. Ministry of Health and Welfare “Excellence in Health Award”; Ranked No. 1 for Large Companies in the CommonWealth Magazine Corporate Citizenship Award; The CSR Model Award for the GlobalViews Magazine Annual Corporate Social Responsibility Survey, and the GlobalViews Magazine CSR Model Award for “Promotion of Public Service”. As an important member of the technology industry, TSMC has always endeavored to act as a positive force in society, and maintains departments such as Brand Management, Customer Service, Public Relations, Employee Relations, Investor Relations, Risk Management, Fab Industrial Safety and Environmental Protection, Internal Audit, and the TSMC Foundation to coordinate the Company’s resources and further enhance TSMC’s positive corporate image. TSMC was honored with awards for its achievements in operations, corporate governance, innovation, profit growth, investor relations, environmental protection and other fields To address potential events that may affect the Company’s public image, including fires and workplace accidents, TSMC maintains an Emergency Response Procedure Manual, and health and safety supervisors for each fab hold meetings of the “Environment, Health, and Safety Technical Board” every month. In addition, relevant departments hold regular drills and continuously improve their emergency response and notification procedures. At the same time, TSMC has established communications criteria for all types of stakeholders, and the Public Relations Department is responsible for external communications. In the event of the above emergencies, all departments immediately deploy emergency response measures to reduce casualties and minimize the impact on the surrounding environment, Company property, and manufacturing operations, and also alert the Public Relations Department at the first stage of response to ensure smooth channels of communications to maintain the Company’s image. Risks Associated with Change in Management During 2015 and as of the date of this Annual Report, there were no such risks for TSMC. 6.3.4 Financial Risks Economic Risks ● Interest Rate Fluctuation TSMC are exposed to interest rate risks related to our debt issuances and investment portfolio. TSMC’s interest income and expenses are most sensitive to fluctuations in R.O.C. and U.S. interest rates. Changes in R.O.C. and U.S. interest rates affect the interest earned on the Company’s cash, cash equivalent and marketable securities and the fair value of those securities as well as interest paid on and the fair value of our debt. TSMC’s investment policy is to achieve a return that will allow TSMC to preserve capital and maintain liquidity requirements. TSMC uses a combination of internal and external management to execute our investment strategy. TSMC typically invests in highly-rated securities, and limit the amount of credit exposure to any one issuer. The policy requires investments generally to be investment grade, with the primary objective of minimizing the potential risk of principal loss. TSMC’s investments in both fixed rate and floating rate interest earning securities carry a degree of interest rate risk. Fixed rate securities may have their fair market value adversely impacted due to a rise in interest rates, while floating rate securities may produce less income than predicted if interest rates fall. In order to limit its exposure to interest rate risks, on the liability side, TSMC finances its funding needs primarily through internal generation of cash and the issuance of long-term, fixed-rate debt. On the investment side, TSMC places its investment mainly in short tenor time deposits as well as in liquid and highly-rated short term fixed income securities to preserve principal and maintain liquidity. We have entered, and may enter in the future, into interest rate futures to hedge interest rate risk on our fixed-income investments. ● Foreign Exchange Volatility More than half of TSMC’s capital expenditures and manufacturing costs are denominated in currencies other than NT dollars, primarily in US dollars, Japanese yen and Euros. In 2015, more than 90% of the Company’s revenues were denominated in US dollars and currencies other than NT dollars. Therefore, any significant fluctuation to its disadvantage in such exchange rates would have an adverse effect on TSMC’s financial condition. For example, because TSMC’s functional currency is denominated in NT dollars, every 1% depreciation of the US dollar against the NT dollar exchange rate may result in approximately 0.4 percentage point decrease in TSMC’s operating margin based on TSMC’s 2015 results. Conversely, if the U.S. dollar appreciates significantly versus other major currencies, the demand for the products and services of TSMC’s customers and for its goods and services will likely decrease, which will negatively affect TSMC’s revenues. TSMC utilizes short-term debt denominated in foreign currencies and derivative financial instruments, including currency forward contracts and cross currency swaps, to partially hedge its currency exposure. Fluctuations in the exchange rate between the US dollar and the NT dollar may affect the US dollar value of the Company’s common shares and the market price of the Company’s American Depositary Shares (ADSs) and of any cash dividends paid in NT dollars on TSMC’s common shares represented by ADSs. ● Inflation and Deflation and Resulting General Market Volatility The global economy is becoming more vulnerable to sudden unexpected fluctuations in inflationary and deflationary expectations and conditions. Both high inflation and deflation adversely affect an economy, at both the macro and micro levels, by reducing economic efficiency and disrupting saving and investment decisions. Recently, dramatic fall in oil prices and negative interest rates in major world economies have exacerbated global fluctuations in inflationary and deflationary expectations. These macro-economic changes have resulted in general market volatility across all assets classes. Such fluctuations and volatility may negatively affect the costs of TSMC’s operations and the business operations of its customers who may be forced to plan their purchases of TSMC’s goods 100 101 and services within an uncertain economy. Therefore, the demand for TSMC’s products and services could unexpectedly fluctuate severely in accordance with expectations of inflation or deflation as affected by macro market volatility. Risks Associated with External Financing Planning capital requirements is challenging in the highly dynamic, cyclical and rapidly changing semiconductor industry, especially during times of general market volatility in the fixed income, interest rates, foreign currencies, and equities markets. From time to time – and increasingly so for the foreseeable next few years – TSMC will continue to need significant capital to fund its operations and manage its capacity in accordance with market demand. TSMC’s continued ability to obtain sufficient external financing is subject to a variety of uncertainties, including: ● TSMC’s future financial condition, results of operations and cash flow ● General market conditions for financing activities ● Market conditions for financing activities of semiconductor companies ● Social, economic, financial, political and other conditions in Taiwan and elsewhere Sufficient external financing may not be available to the Company on a timely basis, on reasonable market terms, or at all. As a result, TSMC may be forced to curtail its expansion and modification plans or delay the deployment of new or expanded services until it obtains such financing. Risks Associated with High-risk/high-leveraged Investment; Lending, Endorsements, and Guarantees for Other Parties; and Financial Derivative Transactions TSMC did not make high-risk or high-leveraged financial investments during 2015 and up to the date of this report. TSMC provided a guarantee to TSMC Global, a wholly owned subsidiary of TSMC, for its issuance of US dollar-denominated senior unsecured corporate bonds of US$1,500 million in April 2013. As of February 29, 2016, TSMC and the Company’s subsidiaries had no intercompany loan outstanding. The financial transactions of a “derivative” nature that TSMC entered into were strictly for hedging purposes and not for any trading or speculative purpose. For more information, please refer to pages 33-35 of the Annual Report section (II), Financial Statements. The fair market value of TSMC’s trading and available-for-sale financial securities is subject to prevailing market conditions and may fluctuate from TSMC’s carrying value from time to time, which may impact the returns of those securities. To control various types of financial transactions, the Company has established internal policies and procedures based on sound financial and business practices, all in compliance with the relevant rules and regulations issued by the Taiwan Securities and Futures Bureau. TSMC policies and procedures include “Policies and Procedures for Financial Derivative Transactions,” “Procedures for Lending Funds to Other Parties,” “Procedures for Acquisition or Disposal of Assets,” and “Procedures for Endorsement and Guarantee”. Risks Associated with Strategic Investments From time to time, TSMC has made or will make a series of strategic investments. There is no guarantee that any of such investments will be successful commercially. Any such investment will incur risks, which may result in losses even with careful management. Any such loss resulting from such investments may result in significant impairment charges, lower profit margin and ultimately lower distributable earnings. For further information on these investments, please refer to “8. Subsidiary Information and Other Special Notes” on pages 126-131 of this Annual Report. Risks Associated with Impairment Charges Under Taiwan-IFRSs, TSMC is required to evaluate its investments, tangible and intangible assets for impairment whenever triggering events or changes in circumstances indicate that the asset may be impaired. If certain criteria are met, TSMC is required to record an impairment charge. TSMC is also required under Taiwan-IFRSs to evaluate goodwill for impairment at least on an annual basis or more frequently whenever triggering events or changes in circumstances indicate that goodwill may be impaired and the carrying value may not be recoverable. TSMC holds investments in certain publicly listed and private companies, some of which have incurred certain impairment charges disclosed in the Annual Report section (II), Financial Statements. The determination of an impairment charge at any given time is based significantly on the projected results of the Company’s operations over a number of years subsequent to that time. Consequently, an impairment charge is more likely to occur during a period when the Company’s operating results are otherwise already depressed. TSMC has established the process and system to closely monitor and assess the risk of any impairment charge. However, the management is unable to estimate the extent or timing of any impairment charge for future years, or whether such impairment charge required may have a material adverse effect on the Company’s net income. 6.3.5 Hazardous Risks TSMC maintains a comprehensive risk management system dedicated to the conservation of natural resources, the safety of people, and the protection of property. In order to effectively handle emergencies and natural disasters at each facility, management has developed comprehensive plans and procedures that focus on risk prevention, emergency response, crisis management, and business continuity. TSMC has adopted local and international standards for Environmental, Safety and Health (ESH) management. All TSMC manufacturing fabs have been ISO 14001 certified (Environmental Management System), OHSAS 18001 certified (Occupational Health and Safety Management System), and QC 080000 certified (Hazardous Substance Process Management System). All manufacturing fabs in Taiwan have also been TOSHMS (Taiwan Occupational Safety and Health Management System) certified. The new fabs will also acquire the above certificates within 18 months after volume production. The Company pays special attention to preparedness for emergencies or disasters, such as typhoons, floods, droughts caused by climate change, earthquakes, environmental contamination, large-scale product returns, service disruption of IT systems, strikes, pandemics (such as H1N1 influenza), and sudden and unexpected disruptions to the supply of raw materials or water, electricity, and other public utilities. TSMC has established a company-wide task force dedicated to managing the risk of a water shortage that might arise due to climate change. This task force keeps watch on the external supply and internal demand for water. Cross-company consolidations and external collaborations with public agencies are also ongoing in the industrial parks to ensure and sustain a stable water supply. TSMC has further strengthened its business continuity plans, which include periodic risk assessment, risk mitigation, and implementation through the establishment of emergency task forces when necessary, combined with the preparation of a thorough analysis of the emergency, its impact, alternative actions, and solutions for each possible scenario together with appropriate precautionary and/or recovery measures. Each task force is given the responsibility of ensuring TSMC’s ability to conduct business while minimizing personal injury, business disruption, and financial impact under the circumstances. TSMC’s business continuity plan is periodically reviewed according to results of test scenarios or practical implementation for ensuring effective and successful business continuity. Customers are informed of TSMC’s strong business continuity capability in order to establish resilience and flexibility in both their supply chain and insurance placement. The Company has also conducted a continuous improvement project, including evaluating building anti-seismic capability, holding earthquake emergency response drills, enhancing tool anchorage or seismic isolation facilities, training and preparedness for tool salvage, and has improved TSMC business continuity procedures with reference to ISO 22301 business continuity management. TSMC and many of its suppliers use highly combustible and toxic materials in its manufacturing processes and are therefore subject to the risk of loss arising from explosion, fire, or environmental influences which cannot be completely eliminated. Although the Company maintains many overlapping risk prevention and protection systems, as well as fire and casualty insurance, TSMC’s risk management and insurance coverage may not be sufficient to cover all of the Company’s potential losses. If any of TSMC’s fabs or vendor facilities were to be damaged, or cease operations as a result of an explosion, fire or environmental influences, it could reduce the Company’s manufacturing capacity and may cause it to lose important customers, thereby having a potentially adverse and material impact on TSMC’s financial performance. In addition to periodic fire protection system inspection and firefighting drills, the Company has also carried out a corporate-wide fire risk mitigation project focused on management and hardware improvements. 6.3.6 Risks Associated with Climate Change and Non- compliance with Environmental and Climate Related Laws and Regulations, and Other International Laws, Regulations and Accords The manufacturing, assembling and testing of our products require the use of metals, chemicals and materials that are subject to environmental, climate-related, health and safety, and humanitarian conflict-free sourcing laws (such as the U.S. SEC rule for filing Form SD to disclose the origins of certain strategic minerals), regulations and guidelines issued worldwide. Although TSMC may be eligible for various exemptions and/ or extensions of time for compliance, the Company’s failure to comply with any of these applicable laws or regulations could result in: ● significant penalties and legal liabilities, such as the denial of import permits; ● the temporary or permanent suspension of production of the affected products; ● unfavorable alterations in TSMC manufacturing, fabrication and assembly and test processes; 102 103 ● challenges from customers that place TSMC at a significant competitive disadvantage, such as loss of actual or potential sales contracts in case the Company is unable to satisfy the conditions regarding conflict-free minerals sourcing laws or requirements by our customers; ● restrictions on TSMC operations or sales; ● damage to TSMC goodwill and reputation; and ● loss of tax benefits, including termination of current tax incentives, disqualification of tax credit application and repayment of the tax benefits that TSMC is not entitled to. Existing and future environmental- and climate-related laws and regulations as well as applicable international accords to which TSMC is subject, could also require it, among other things, to do the following: (a) purchase, use or install expensive pollution control, reduction or remediation equipment; (b) implement climate change mitigation programs and “abatement or reduction of greenhouse gas emissions” programs, or “carbon credit trading” programs; (c) modify product designs and manufacturing processes, or incur other significant expenses associated with such laws and regulations such as obtaining substitute raw materials or chemicals that may cost more or be less available for our operations. It is still unclear whether such necessary actions would affect the reliability or efficiency of TSMC products and services. The contingencies resulting from the actual and potential impact of local or international laws and regulations, as well as international accords on environmental or climate change, could harm the Company’s business and operational results by increasing expenses or requiring TSMC to alter its manufacturing, assembly and test processes. Increasing climate change and environmental concerns could affect the results of our operations if any customers request that TSMC provide products and services that exceed any existing standard(s) of environmental compliance. If TSMC is unable to offer such products or offer products that are compliant, but are not as reliable due to the lack of reasonably available alternative technologies or materials, it may lose market share to competitors. In addition, the Company’s inability to timely obtain environmental related approvals needed to undertake the development and construction of a new fab or expansion project may delay, limit or increase the cost of our expansion plans that could also in turn adversely affect TSMC’s business and operational results. In light of increased public interest in environmental issues, the Company’s operations and expansion plans may be adversely affected or delayed responding to public concern and social environmental pressures even if the Company’s operations comply with all applicable laws and regulations. Further, energy costs in general could increase significantly due to climate change and other regulations. Therefore, TSMC’s energy costs may increase significantly if utility or power companies pass on their costs, either fully or partially, such as those associated with carbon taxes, emission caps and carbon credit trading programs. TSMC believes that climate change should be regarded as an important corporate risk, which must be controlled to improve our competitiveness. Climate change risks include legal risk, physical risk and other risks. TSMC’s control measures are as follows: ● Climate regulatory risk control The greenhouse gas (GHG) control regulations and agreements of countries around the world are becoming increasingly stringent. Enterprises are legally required to regularly disclose GHG-related information, and also limit GHG emissions. The cost of production, including materials and energy, may also grow along with future legal requirements, such as carbon or energy taxes. TSMC continues to monitor legislative trends and communicate with various governments through industrial organizations and associations to set reasonable and feasible legal requirements. ● Conflict minerals risk control For additional details, please refer to the section of “Supplier and Contractor Management” of “7.2.3 Safety and Health” on pages 119-120 of this Annual Report. ● Climate disaster risk control Abnormal climate caused by the greenhouse effect has increased the frequency and severity of climate disasters – storms, floods, drought, and water shortages – causing considerable impacts on business operations and supply chains. TSMC believes that climate change control should take into account both mitigation and adaption, and this requires cooperation among government, society and industry to reduce risk. To ensure electricity and raw water supplies, therefore, in addition to water-saving measures at the Company’s own facilities and those of upstream and downstream partners, TSMC participates in the Taiwan Science Park Industrial Union Experts Committee platform, and is actively involved in regular meetings with Taipower Company and the Taiwan Water Corporation to discuss supply and allocation for response issues. owned 6.38% of TSMC’s outstanding shares as of February 29, 2016, has from time to time in the past sold TSMC shares in the form of ADSs in several transactions. As of the date of this Annual Report, no shareholder owns 10% or more of TSMC’s total outstanding shares. Risks Associated with Cyber Attacks Even though we have established a comprehensive internet and computing security network, we cannot guarantee that our computing systems which control or maintain vital corporate functions like our manufacturing operations and enterprise accounting would be completely immune to crippling cyber viral attacks launched by third party to gain unauthorized access to our internal network systems to sabotage our operations and goodwill. In the event of a serious cyber attack, our systems may lose important corporate data and our production lines may be shutdown indefinitely pending the resolution of such attack. These cyber attacks may also attempt to steal our trade secrets and other intellectual properties and other sensitive information, such as personal information of our employees and proprietary information of our customers and other stakeholders. Malicious hackers may also try to introduce computer viruses or corrupted software into our network systems to disrupt our operations or spy for sensitive information. These attacks may result in us having to pay damages for our delayed or disrupted orders or incur significant expenses in attempting to re-establish control over our network. If we are not able to timely resolve the technical difficulties caused by such cyber attacks, our financial results as well as our commitments to our customers and other stakeholders may be materially impaired. Other Material Risks During 2015 and as of the date of this Annual Report, TSMC’s management is not aware of any other risk event that could impart a potentially material impact on the financial status of the Company. ● Other climate risk controls Climate change is a concern to the global supply chain, necessitating energy conservation, carbon reduction, and disaster prevention. For example, The Electronic Industry Citizenship Coalition (EICC) has also required members’ suppliers to disclose GHG emissions information. TSMC not only discloses its own GHG emissions information each year, but it also assists and requires its suppliers to establish a GHG inventory system and conduct reduction programs. TSMC’s suppliers are required by TSMC to submit GHG emissions and reduction information as an important index of sustainability scoring in its procurement strategy. To mitigate risks resulting from climate change, TSMC continues to actively carry out energy conservation measures, voluntary perfluorinated compounds (PFC) emission reduction projects, and GHG inventory and verification every year. TSMC has publicly disclosed climate change information every year through the following channels: ● TSMC has disclosed GHG emissions and reduction-related information for evaluation by the Dow Jones Sustainability Index every year since 2001. ● TSMC’s GHG-related information has been disclosed in its CSR report on the Company website annually since 2008. TSMC also provides information to customers and investors upon request. ● Since 2005, TSMC has been participating in an annual survey held by the nonprofit Carbon Disclosure Project (CDP), which includes GHG emission and reduction information for all TSMC fabs and subsidiaries. ● Every year since 2006, TSMC has followed the ISO 14064-1 standard to conduct a GHG inventory and acquire verification by an accrediting agency. TSMC also reports GHG inventory data to the Taiwan Environmental Protection Administration (EPA) and the Taiwan Semiconductor Industry Association (TSIA). 6.3.7 Other Risks Potential Impact and Risks Associated with Sales of Significant Numbers of Shares by TSMC’s Directors, and/or Major Shareholders Who Own 10% or More of TSMC’s Total Outstanding Shares The value of TSMC shareholders’ investment may be reduced by possible future sales of TSMC shares owned by the major shareholders. One or more of our existing shareholders may, from time to time, dispose of significant numbers of our common shares or ADSs. For example, the National Development Fund, which 104 105 7. Corporate Social Responsibility 106 106 7.1 Overview TSMC Corporate Social Responsibility Policy TSMC believes a companyÕ s corporate social responsibility is to uplift society. To focus TSMCÕ s CSR efforts, Chairman Dr. Morris Chang and the management team, after extensive discussions held in 2015, declared Ó Uplifting SocietyÓ as the Company vision and identified three primary missions: Ò Acting with Integrity,Ó Ò Strengthening Environmental ProtectionÓ , and Ò Caring for the DisadvantagedÓ . In November 2015, Chairman Chang approved the Ò TSMC Corporate Social Responsibility PolicyÓ as an official Company document to serve as a guide in developing a CSR procedure, which was completed in December 2015. Ò TSMC Corporate Social Responsibility ProcedureÓ defines TSMCÕ s CSR scope, the roles and responsibilities of CSR Committee members and management to fulfill the vision and carry out the missions as a good corporate citizen. TSMC Corporate Social Responsibility Policy Since its establishment, TSMC has not only strived for the highest achievements in its core business of dedicated IC foundry services but has also actively developed positive relationships with all stakeholders including employees, shareholders, customers, suppliers, and society to fulfill its responsibility as a corporate citizen and pursue a sustainable future. Vision ● To Uplift Society Mission ● Acting with Integrity ● Strengthening Environmental Protection ● Caring for the Disadvantaged Guiding Principles Acting with Integrity: TSMC believes in acting ethically, following the law, and balancing the interests of all stakeholders. The Company endeavors to use the experience of developing a sustainable business to drive the industry and supply chain into a positive cycle and to act together with them as an uplifting force in society. Strengthening Environmental Protection: TSMC strives to achieve environmental sustainability and continues to promote green fabs, green manufacturing, and green supply chains. The Company seeks the most efficient use of energy and resources and is committed to reducing waste and preventing pollution. TSMC is eager to share its environmental experience and expertise and aims to collaborate with government, academia, and all of society to address the challenges of climate change. Caring for the Disadvantaged: TSMC believes in equality, justice, and a safe and prosperous society. The Company combines its resources with employee volunteer service to commit money, material and labor to the two main areas of Ò educationÓ and Ò living.Ó TSMC hopes to provide underprivileged students in rural regions with diverse learning opportunities and give disadvantaged groups necessary aid and emergency relief for the common good of society. Chairman Morris Chang November, 2015 107 107 The Scope of Corporate Social Responsibility The goal of TSMC’s corporate social responsibility is to uplift society. The CSR Matrix below, set by Chairman Dr. Morris Chang, clearly defines the scope of that responsibility. The horizontal axis shows the seven areas where TSMC aims to set an example: morality, business ethics, economy, rule of law, sustainability, work/life balance and happiness, and philanthropy; and on the vertical axis are actions that TSMC has taken to fulfill its responsibilities. Responsibilities of TSMC CSR Committee Members Committee Members Responsibilities Legal Customer Service Materials Management Quality and Reliability Corporate Governance, Code of Conduct, Legal Compliance, Intellectual Property, Protection of Confidential Information Customers Service and Satisfaction, Customer Trust, Customer Confidentiality Materials and Supply Chain Risk Management, Supplier Management, Conflict Minerals, EICC Product Quality and Reliability, Product Recall Mechanism TSMC CSR Matrix TSMC Integrity Law Compliance Anti-Corruption Anti-Bribery Anti-Cronyism Environmental Protection Climate Control Energy Conservation Corporate Governance Provide Well-paying Jobs Good Shareholder Return Employees’ Work-life Balance Encourage Innovation Good Work Environment Volunteers Organization Education and Culture Foundation Society Morality Business Ethics Economy Rule of Law Sustainability Work/Life Balance Happiness Philanthropy Research and Development Innovation Management, Green Products V V V V V V V V V V V V V V V V V V V V V V Risk Management Risk Management, Crisis Management, Emergency Response and Action Plan Finance Investor Relations Operations Environment, Health, and Safety Financial Disclosure, Dividend Policy, Tax Strategy Resolving Issues of Stakeholder Concern, Establishing Trusting Long-term Relationships, Effective Two-way Communication, Annual Report Production Operational Eco-efficiency, Pollution Prevention, Water Resource Risk Management, Green Manufacturing Environmental Policy and Management System, Climate Change Mitigation and Adaption, Pollution Prevention, Energy Consumption Efficiency, Carbon Emissions and Carbon Rights Management, Product Environmental Responsibility, Response Mechanism for Environmental Issues, Environmental Spending, Green Supply Chain, Policy and Management Systems for Occupational Health and Safety, Workplace Health and Safety, Occupational Disease Prevention and Health Promotion, Communication of ESH Regulations Human Resources Talent Recruitment and Retention, Employee Health and Safety, Employee Training and Development, Compensation and Benefits, Freedom of Association and Collective Bargaining, Labor Relations and Whistleblower Procedures, Labor Rights Violations and Reporting Procedures, Management of Working Hours, Child Labor TSMC Education and Culture Foundation, TSMC Volunteer Society Corporate Citizenship, Philanthropy, Community Relations Stakeholders Government Employees Society Customers Suppliers Customers Employees Customers Customers Employees Government Society Investors Government Investors Investors Customers Investors Employees Customers Government Society Suppliers Investors Employees Society CSR Management In 2011, Chairman Dr. Morris Chang appointed the Chief Financial Officer to establish a CSR Committee to serve as the center for decision-making and action for the Company’s corporate social responsibility initiatives. CSR was added as a regular agenda item for the Board of Directors beginning in 2012, and the CFO reports annually to the Board the results of the prior year’s CSR activities and the upcoming year’s action plans. The CSR Committee is TSMC’s interdepartmental coordination platform for carrying out CSR initiatives. Led by the CFO, the committee is comprised of members from each functional organization and holds meetings quarterly to discuss issues of interest to stakeholders, such as employees, shareholders, customers, suppliers, governments, society, and others. The committee sets objectives and plans and reviews progress to systematically and effectively fulfill the Company’s corporate social responsibilities. Through close cooperation among various internal organizations, CSR has become an integral part of TSMC’s daily operations. Stakeholder Engagement TSMC’s approach to stakeholder relations is divided into four stages: identification, analysis, planning and engagement. In order to pursue sustainable operations, TSMC establishes direct communications with each of its stakeholders. The Company communicates with stakeholders through multiple channels established by CSR-related units and listens to their economic, social and environmental concerns. Furthermore, clearly identified CSR Committee members take ownership of each CSR issue, whether economic, environmental or social, and are responsible for developing appropriate responses to global and social trends, the Company’s current situation, and other stakeholders concerns in order to continue promoting positive social change. Public Relations Stakeholder Engagement, Mechanism for Reflecting Issues of Social Concern, Media Relations, CSR Report Production Society TSMC believes that integrity is fundamental to a company’s sustainability. From a CSR perspective, TSMC also believes that customer trust is enhanced if the Company follows the law, insists on transparency, and shows good corporate governance. These practices, along with outstanding business results, mean investors will be more willing to invest in the Company over the long term and employees will be more likely to make a mutual commitment to the Company to fulfill its core values, leading to stronger coherence within the Company. At TSMC’s urging, suppliers – both upstream and downstream – have been working together to strengthen environmental protection by building a green supply chain. With the engagement of all stakeholders, the Company’s resources can be amplified to create even more value for society. In summary, carrying out TSMC’s social responsibilities gives the Company greater competitive advantage and benefits all stakeholders. DJSI Industry Group Leader In 2015, TSMC was recognized by the Dow Jones Sustainability Indices (DJSI) as the Semiconductors and Semiconductor Equipment Industry Group Leader for the third consecutive year, once again highlighting the company’s dedication to corporate social responsibility, leadership in adopting international sustainability management standards, and continued innovation in the economic, environmental, and social dimensions. Moreover, TSMC is one of only two semiconductor companies chosen as the World Indices components for the past 15 consecutive years. 108 109 2015 CSR Awards and Recognitions Category Overall CSR Organization Awards and Recognitions Dow Jones Sustainability World Indices (DJSI) ● DJSI Semiconductors and Semiconductor Equipment “Industry Group Leader“ for the 3rd consecutive year ● RobecoSAM Sustainability Award “Gold Class“ ● RobecoSAM Sustainability Award: Industry Leader ● Membership in the Dow Jones Sustainability World Indices for a 15th consecutive year FORTUNE Barron’s ● Selected as one of The World’s Most Admired Companies ● Selected as Top 100 World’s Most Respected Companies MSCI Global Sustainability Index Series ● Selected as MSCI Global Sustainability Index component CommonWealth Magazine Globalviews Magazine Taiwan Institute of Sustainable Energy Economy, Governance Institutional Investor Magazine IR Magazine FinanceAsia R.O.C. Ministry of Economic Affairs Industrial Development Bureau ● Excellence in Corporate Social Responsibility Award – Large cap – 1st Place Corporate Social Responsibility Award: ● Technology and Traditional Industry Group – Model Award ● Public Welfare Promoting – Model Award Taiwan Corporate Sustainability Awards: ● Taiwan Top 50 Corporate Responsibility Report Awards – Electronics Industry – Gold Class ● Sustainable Water Management Award ● Best CEO (Technology/Semiconductors) –1st Place (buy-side) – All-Asia ● Best CFO (Technology/Semiconductors) – 2nd Place (buy-side) – All-Asia ● Best CFO (Technology/Semiconductors) – 1st Place (sell-side) – All-Asia ● Best Investor Relations (Technology/Semiconductors) – 1st Place (buy-side) – All-Asia ● Best Investor Relations – (Technology/Semiconductors) – 1st Place (sell-side) – All-Asia ● Best Investor Relations Professional (Technology/Semiconductors) – 1st Place (buy-side) – All-Asia ● Best Investor Relations Professional (Technology/Semiconductors) – 1st Place (sell-side) – All-Asia ● Asia’s Best Analyst and Investor Days ● Grand prix for best overall investor relations (Large cap) ● Best in Sector – Technology ● Best IR by a Taiwanese company ● Asia’s Best Companies 2015 – Taiwan ● Best Managed Public Company – Ranked No. 2 in Taiwan ● Most Committed to a Strong Dividend Policy – Ranked No. 2 in Taiwan ● Best Corporate Governance – Ranked No. 3 in Taiwan ● Best CEO – Ranked No. 1 in Taiwan ● Best CFO – Ranked No. 2 in Taiwan ● Ranked No. 1 in Survey of Top 20 Innovative Companies in Taiwan IEEE Spectrum Magazine ● Ranked No. 1 in “Patent Power Scorecard for Semiconductor Manufacturing” Sector ROC Securities & Futures Institute ● 12th Information Disclosure of Public Companies Ranking – Ranked A++ Taiwan Stock Exchange ● Ranked in top 5% in First Corporate Governance Evaluation of Listed Companies China Credit Information Service ● Ranked No. 1 in Profitability for Taiwan Companies Financial Times FORTUNE ● Selected as member of FT Global 500 ● Selected as member of Fortune Global 500 Environment, Safety and Health U.S. Green Building Council Leadership in Energy and Environmental Design (LEED) Certification ● “Gold” class certification – Fab 14 Phase 5 and Phase 6 Manufacturing Facilities Note: Up to the end of 2015, TSMC received 18 U.S. LEED certifications (2 “Platinum” class and 16 “Gold” class certifications) R.O.C. Ministry of the Interior “Ecology, Energy Saving, Waste Reduction and Health (EEWH)” Certification ● “Diamond” class certification – Fab 15 Phase 1/2 Manufacturing Facility, Fab 15 Phase 3/4 Manufacturing Facility and Fab 15 Phase 1 Office Building Note: Up to the end of 2015, TSMC received 10 Taiwan EEWH “Diamond” class Green Building certifications R.O.C. Ministry of Economic Affairs Industrial Development Bureau “Green Factory Label” ● Fab 15 ISO 50001 Energy Management System Certification ● Fab 14A, Fab 14B R.O.C. Environmental Protection Administration ● “Annual Enterprise Environmental Protection Award” – Fab 6 ● “Energy Conservation and Carbon Reduction Action Mark” – Fab 8, Fab 12A, Fab 14B ● “Enterprise Green Procurement Award” – Fab 2 and 5, Fab 12A ● “National Environmental Education Award” – Fab 2 and 5, Advanced Backend Fab R.O.C. Ministry of Economic Affairs ● “Excellence in Carbon Reduction Award” – Fab 2 and 5, Fab 14A ● “Water Conservation Award” –Fab 3 , Fab 12B R.O.C. Ministry of Labor “Excellence in Labor Safety and Hygiene Award” – Fab 2 Hsinchu Science Park Administration ● “Water Conservation Award” – Fab 12B ● “Excellence in Labor Safety and Hygiene Award” – Fab 12A Central Taiwan Science Park Administration ● “Excellence in Labor Safety and Hygiene Award” – Fab 15 Southern Taiwan Science Park Administration ● “Excellence in Environmental Protection” – Fab 14B Hsinchu County Environmental Protection Bureau ● “Enterprise Environmental Protection Evaluation” – Fab 2 and 5, Fab 3, Fab 12A, Fab 12B ● “Enterprise Green Procurement Award” – Fab 2 and 5 Environmental Protection Bureau of Hsinchu City ● “Enterprise Environmental Protection Evaluation” – Fab 8, Fab 12A ● “Enterprise Green Procurement Award” – Fab 12A Environmental Protection Bureau of Tainan City ● “Environmental Education Award” – Fab 6 Employees Health Promotion Administration, Ministry of Health and Welfare ● “Excellence in Health Award“ Department of Health, Tainan City Government ● “Excellence Healthy Workplace Award“ 7.2 Environmental, Safety and Health (ESH) Management TSMC believes its environmental, safety and health practices must not only comply with legal requirements, but also measure up to, or exceed, recognized international practices. TSMC’s ESH policy aims to reach the goals of “zero incident” and “sustainable development,” and to make TSMC a world-class company in environmental, safety and health management. The Company’s strategies for reaching these goals are to comply with regulations, promote safety and health, strengthen recycling and pollution prevention, manage ESH risks, instill an ESH culture, establish a green supply chain, and fulfill its related corporate social responsibilities. All TSMC manufacturing facilities have received ISO 14001: 2004 certification for environmental management systems and OHSAS 18001: 2007 certification for occupational safety and health management systems. All fabs in Taiwan have also been TOSHMS (Taiwan Occupational Safety and Health Management System) certified since 2009. TSMC strives for continuous improvement and actively seeks to enhance climate change management, pollution prevention and control, power and resource conservation, waste reduction and recycling, safety and health management, fire and explosion prevention and minimize the impact of earthquake damage, in order to reduce the overall environmental, safety and health risk. In 2006, in order to meet regulatory and customer needs for the management of hazardous materials, TSMC began to adopt the IECQ QC 080000 Hazardous Substance Process Management (HSPM) System. All TSMC manufacturing facilities have been QC 080000 certified since 2007. By practicing QC 080000, TSMC ensures that its products comply with regulatory and customer requirements, including the “European Union’s Restriction of Hazardous Substances (RoHS) Directive,“ “EU Registration, Evaluation, Authorization and Restriction of Chemicals (REACH),“ the “Montreal Protocol on Substances that Deplete the Ozone Layer,“ [the halogen free in electronic products initiative], and Perfluorooctane Sulfonates (PFOS) restriction standards. Since 2011, TSMC has adopted ISO 50001 Energy Management System for the continuous improvement of energy conservation. TSMC Fab 12 Phase 4 data center is Taiwan’s first facility to earn the ISO 50001 certification for a high-density computing data center. As of early 2016, TSMC has three fabs – Fab 12 Phase 4/5/6, Fab 14 Phase 3/4 and Fab 15 – that earned the ISO 50001 certifications. Other TSMC fabs also implement energy management measures consistent with ISO 50001. TSMC regularly communicates with suppliers and contractors regarding environmental, safety and health issues and encourages them to improve their ESH performance. In line with this policy, TSMC uses priority work management and self-management to govern work performed by contractors. TSMC requires contractors performing level-one high-risk operations to complete certification for technicians and to establish their own OHSAS 18001 safety and health management system. This self-management is aimed at increasing the sense of responsibility of TSMC’s contractors, with the goal of promoting safety awareness and technical improvement for all contractors in the industry. TSMC collaborates with suppliers to improve the sustainability of the Company’s supply chain regarding ESH-related issues, such as environmental protection, safety and hygiene code compliance, daily management, fire protection, and conflict mineral management. TSMC not only performs ESH audits at its suppliers’ manufacturing sites but also proactively assists them with improving ESH performance. Besides the requirement of ESH code compliance, energy/ water saving and carbon management of TSMC’s supply chain are essential to the Company’s green supply chain ideals. Since 2009, TSMC has required suppliers to establish carbon inventory procedures. Since 2010, TSMC has collaborated with selected suppliers to establish product carbon footprints and has received PAS2050 certifications for 6-inch, 8-inch and 12-inch finished wafers. In 2015, TSMC calculated its carbon footprint and water footprint, which were certified by the third party for ISO 14067 and ISO 14046, respectively. We not only provide such information to customers but also continue to promote carbon reduction and water conservation at TSMC and in the supply chain from a life cycle point of view. TSMC also monitors potential climate change related risks in the supply chain, investigates the supply chain’s carbon emission, electricity usage and water usage, and encourages suppliers to conduct energy saving, carbon reduction, water conservation and waste reduction measures. The ESH management programs of TSMC suppliers are tied to a sustainability index that includes three components: the Green Index, the Social Index and the Risk Index. The Green Index includes environmental management systems, regulatory compliance, hazardous substance management, conflict mineral investigation, greenhouse gas inventory and other 110 111 green activities. The Social Index includes labor and ethical conduct. Both of the Green and Social indices are consistent with the Electronic Industry Citizenship Coalition (EICC) Code of Conduct. The Risk Index includes safety and health management, fire prevention, natural disaster mitigation, IT interruption recovery, transportation reliability, supply chain management and business continuity plan. This sustainability index is applied to TSMC’s critical suppliers. 7.2.1 Environmental Protection Greenhouse Gas (GHG) Emission Reduction TSMC is an active participant in international environmental regulatory and protection programs. TSMC achieved its voluntary PFC emissions reduction goal as per its commitment to the World Semiconductor Council (WSC) and the Taiwan Environmental Protection Administration (EPA) in 2010. The “Greenhouse Reduction and Management Act” established by Environmental Protection Administration Executive Yuan, R.O.C. (Taiwan) have been in effect since July 1, 2015. The related sub-regulations will be established and announced soon, and TSMC is preparing to take action. In 2005, TSMC was the semiconductor leading company to complete the GHG inventory program and make a complete inventory of its GHG emissions and to gain ISO 14064 certification. The purpose of the inventory is to serve as a baseline reference for TSMC’s strategy to reduce GHG emissions, to meet domestic regulatory requirements, and to prepare for carbon trading and corporate carbon asset management. All TSMC facilities conduct an annual GHG inventory. The inventory shows that the major direct GHG emissions are perfluorinated compounds (PFCs), which are used in the semiconductor manufacturing process. The primary indirect GHG emission is electricity consumption. The Company has taken measures to reduce its emission of GHGs. TSMC endorsed a memorandum of understanding to the Taiwan Semiconductor Industry Association, the Taiwan EPA, and the WSC, set up the corporate PFC emission reduction policy and action plans proactively whereby the Company committed to reducing PFC emissions to 10% below the average of 1997 and 1999 by 2010, a commitment that it was proud to achieve. This emissions target remains fixed as TSMC continues to grow and expand its manufacturing facilities. TSMC is active in the WSC’s activities to set up a global voluntary PFC emissions reduction goal for the next ten years, and has incorporated past experience to develop best practices. The implementation of best practices has been adopted by the WSC as a major element of the 2020 goal. In 2013, in accordance with the “EPA Early Actions for Carbon Credit of Greenhouse Gases Reduction” regulation, TSMC applied for the recognition of greenhouse reduction from 2005 to 2011 that committed to the WSC and EPA, and received 5.28 million tons carbon dioxide credits in 2015. Those carbon credits can be used to offset greenhouse gas emissions of new manufacturing facilities regulated by Environmental Impact Assessment (EIA) Act. It will mitigate climate change risk to support the Company’s sustainable operation. Coal-fired power generators, the major source of electricity in Taiwan, emit large amounts of carbon dioxide (CO2). TSMC has not only adopted energy-conserving designs for both its manufacturing fabs and offices, but has also continuously improved the energy efficiency of facilities during operation. These efforts simultaneously reduce both carbon dioxide gas emissions and costs. In 2015, TSMC purchased 100 million kilowatt hours (kWh) of green power, actively participating in the R.O.C. Ministry of Economic Affairs’ voluntary “Green Power Purchasing Program”. TSMC’s commitment made up nearly 13% of Taiwan Power Company’s total green power available for purchase under the program in 2015. Since green power generated with zero carbon emissions, the purchase of 100 million kWh of green power will reduce 52 million kilograms CO2 emission, equivalent to the carbon absorbed by 5.2 million trees in one year. TSMC hopes that by taking action to support Taiwan’s renewable energy development, it can continue to pursue sustainability, support a low-carbon environment, and reduce the impact of global warming. Air and Water Pollution Control The Company has installed effective air and water pollution control equipment in each wafer fab to meet regulatory emissions standards. In addition, TSMC maintains backup pollution control systems, including emergency power supplies, to lower the risk of pollutant emission in the event of equipment breakdown. TSMC centrally monitors the operations of air and water pollution control equipment around the clock and treats system effectiveness as an important tracking item to ensure the quality of emitted air and discharged water. To make the most effective use of Taiwan’s limited water resources, all TSMC fabs make an effort to increase water reclamation rates by adjusting the water usage of manufacturing equipment and improving wastewater reclamation systems. All fabs are meeting or exceeding the process water reclamation rate standard of the Science Park Administration. New fabs are able to reclaim more than 85% of process water, and outperforming most semiconductor fabs around the world. TSMC also strives to reduce non-manufactur- ing-related water consumption, including water used in air conditioning systems, sanitary facilities, cleaning, landscaping and kitchens. TSMC uses an intranet website to collect and measure water recycling volumes company-wide. Since water resources are inherently local, TSMC shares its water saving experiences with other semiconductor companies through the Association of Science-Based Industrial Park to promote water conservation in order to achieve the Science Park’s goals and ensure a long-term balance of supply and demand. Waste Management and Recycling The Company has a designated unit responsible for waste recycling and disposal. To meet the goal of sustainable resource utilization, TSMC’s priorities are: 1) reduce process waste, 2) increase on-site reuse, and 3) increase off-site recycling; the last choice is treatment or disposal. To achieve raw material reduction, resource recycling and the goal of zero waste, TSMC built an in-house waste sulfuric acid pre-treatment system in 2015 for electronic grade sulfuric acid can be used as waste water treatment agents after wafer fabrication process. In order to track the waste flow, TSMC carefully selects waste disposal and recycling contractors and performs annual audits of certification documents and site operations. TSMC also adopts proactive actions to strengthen vendor auditing effectiveness. For example, all waste transportation contractors are requested to join the “GPS Satellite Fleet” so that all the cleanup transportation routes and abnormal stays for all trucks can be traced, a program that all contractors joined in 2015. In addition, all waste recycling and treatment vendors have installed CCTV at operating sites to review and audit the waste handling. Meanwhile, TSMC also conducts an ongoing survey of recycling product tracking. Such actions were taken to ensure lawful and proper waste recycling and treatment. TSMC achieved a 95% waste recycling rate in 2015, while our landfill rate was below 1% for the sixth consecutive year. Environmental Accounting The purpose of TSMC’s environmental accounting system is to identify and calculate environmental costs for internal management. At the same time, the Company can also evaluate the savings or economic benefits of environmental protection programs so as to promote cost-effective programs. While environmental expenses are expected to continue growing, environmental accounting can help TSMC manage these costs more effectively. TSMC’s environmental accounting measures various environmental costs, establishes independent environmental account codes, and provides these to all units for use in annual budgeting. The Company’s economic benefit evaluation calculates cost savings for reduction of energy, water or waste and benefits from waste recycling in accordance with our environmental protection programs. The environmental benefits disclosed in this report include real income from projects such as waste recycling and savings from major environmental projects. In 2015, 367 environmental projects of TSMC fabs were completed and the total benefits, including waste recycling, were more than NT$1,053 million. 2015 Environmental Cost of TSMC Fabs in Taiwan Unit: NT$ thousands Classification 1. Direct Costs for Reducing Environmental Impact Description Investment Expense (1) Pollution Control Cost Fees for air pollution control, water pollution control, and others (2) Resource Conservation Cost Costs for resource (e.g. water) conservation (3) Waste Disposal and Recycling Costs for waste treatment (including recycling, incineration and landfill) 2. Indirect Cost for Reducing Environmental Impact (Environmental Managerial Cost) 3. Other Environmental Costs Total 1) Cost of training (2) Environmental management system and certification expenditures (3) Environmental impact measurement and monitoring fees (4) Environmental protection product costs (5) Environmental protection organization fees (1) Costs for decontamination and remediation (2) Environmental damage insurance fees and environmental taxes and expenses (3) Costs related to environmental settlement, compensations, penalties and lawsuits 4,904,897 1,557,383 - 117,717 3,232,928 - 1,048,089 220,401 - - 6,579,997 4,501,418 112 113 2015 Environmental Efficiency of TSMC Fabs in Taiwan Unit: NT$ thousands Category Description 1. Cost Savings of Environmental Protection Energy savings: completed 152 projects Projects Water savings: completed 42 projects Waste reduction: completed 173 projects 2. Real Income from Industrial Waste Recycling Recycling of used chemicals, wafers, targets, batteries, lamps, packaging materials, paper cardboard, metals, plastics, and other waste Total Efficiency 402,519 105,979 148,700 396,209 1,053,407 Other Environmental Protection Programs TSMC conducts “Product Life Cycle Assessments” (Product LCA), collecting and analyzing data across the entire semiconductor manufacturing chain from raw material suppliers to finished products, including statistics for such items as energy, raw material consumption, and pollution. The Product LCA study has established “Eco-Profiles” for all TSMC fabs and helps the Company to meet international regulations, such as the European Union’s “Energy-Using Product” directive. These “Eco-Profiles” can also be provided to customers who require such documentation. In 2015, TSMC calculated product average carbon footprint and water footprint of all its fabs in Taiwan, which were certified by the third party for ISO 14067 and ISO 14046, respectively. We not only provide such information to customers but also continue to promote carbon reduction and water conservation at TSMC and in the supply chain from a life cycle point of view. TSMC also follows “green procurement” procedures that require raw material suppliers to declare that the materials they supply do not contain any environmental harmful prohibited substances. This ensures that products manufactured by TSMC comply with customer requirements and the regulatory requirements of the European Union’s RoHS Directive. TSMC also encourages employees to use “Green Mark” products in offices, such as recycled paper, desktop PCs, LCD monitors, and batteries. Since 2006 TSMC has adopted standards from both the Taiwan “Green Building” and the green building evaluation of the U.S. Green Building Council - Leadership in Energy and Environmental Design (LEED) for new fab and office building designs to achieve better energy and resource efficiency than conventional designs. At the same time, TSMC continues to upgrade existing office buildings to comply with the LEED standard each year. From 2008 to 2015, 18 of TSMC’s fabs and office buildings achieved LEED certifications: 2 Platinum and 16 Gold class. Meanwhile, TSMC also received 3 Taiwan’s Diamond class Intelligent Building and 10 Taiwan’s EEWH (Ecology, Energy Saving, Waste Reduction and Health) Diamond class certification. TSMC believes that all manufacturing companies should convert their facilities into green factories to improve the environment and lower construction costs. Therefore, the Company freely shares its practical experience with industry, government, and academia. As of the end of 2015, more than 8,730 visitors from 230 different industry, government, academic, and general community groups contacted TSMC to gain understanding on the Company’s green factory practices. TSMC led the industry to support the Taiwan government in establishing the “Green Factory Labeling System” since 2009, a system that included “Clean Production Evaluation” and “Green Factory Evaluation.” TSMC received Taiwan’s first “Green Factory Label” and six labels in total for Fab 12 Phase 4, Fab 14 Phase 3 and Phase 4, Fab 12 Phase 5 and Phase 6, and Fab 15 Phase 1 and Phase 2. Environmental Compliance Record In 2015 and as of the date of this Annual Report, TSMC had not received any environmental penalties or fines. 7.2.2 Green Products TSMC collaborates with its upstream material and equipment suppliers, design ecosystem partners and downstream assembly and testing service providers to minimize environmental impact. We reduce the resources and energy consumed for each unit of production and are able to provide more advanced, power efficient and ecologically sound products, such as lower-power-consumption chips for mobile devices, high-efficiency LED drivers chips for Flat Panel Display Backlighting and indoor/outdoor Solid State LED lighting, and “Energy Star” certified low standby AC-DC adaptors chips, etc. By leveraging TSMC’s superior energy-efficient technologies, these chips are used for supporting sustainable city infrastructure, greener vehicles, smart girds, and so on. In addition to helping customers design low-power, high-performance products to reduce resource consumption over the product’s life cycle, TSMC implements clean manufacturing practices that provide additional “Green Value” to customers and other stakeholders. TSMC-manufactured ICs are used in a broad variety of applications covering various segments of the computer, communications, consumer, industrial and other electronics markets. Through TSMC’s manufacturing technologies, customers’ designs are realized and their products are incorporated into people’s lives. These chips, therefore, make significant contributions to the progress of modern society. TSMC works hard to achieve profitable growth while providing products that add environmental and social value. Listed below are several examples of how TSMC-manufactured products significantly contribute to the environment and society. Environmental Contribution by TSMC Foundry Services 1. Continue to Drive Technology to Lower Power Consumption and Save Resources ● TSMC continues to drive the development of advanced semiconductor process technologies to support customer designs that result in the most advanced, energy-saving, and environmentally friendly products to support sustainability. In each new technology generation, circuitry line widths shrink, making transistors smaller and reducing product power consumption. TSMC’s 28nm technology, for example, can accommodate approximately four times the number of electronic components as 55nm technology. ICs made with 28nm technology in active or standby mode consume roughly one-third the power of 55nm products, according to TSMC’s internal test results. ● TSMC has led the dedicated foundry segment in offering 28nm process technology and shipped over 4.5 million wafers to customers in total from 2011 to 2015. TSMC provides 28nm High Performance (28HP), 28nm High Performance Low Power (28HPL), 28nm Low Power (28LP), 28nm High Performance Mobile Computing (28HPM), 28nm High Performance Compact (28HPC) for mobile computing and 28nm High Performance Compact Plus (28HPC+) for mobile computing to meet customers’ various needs for more advanced, energy-saving, and environmentally friendly products. ● TSMC continues to deliver Performance-per-Watt scaling in its 20nm SoC (20SoC), 16nm FinFET Plus (16FF+), and 10nm FinFET process technologies. With energy-efficient transistors and interconnects, the 20nm SoC process can reduce total power consumption of the 28nm process by a third. By migrating from planar to FinFET technology, the 16FF+ process can further reduce total power consumption to about 30% of 28nm technology. TSMC’s 10nm technology continues FinFET evolution for even better performance and lower power; total power consumption is only 20% of 28nm technology. TSMC’s 16FF+ started volume production in mid-2015, only one year after the Company introduced 20nm technology. In addition, 10nm FinFET technology began customer product tape-out in the first quarter of 2016. ● TSMC quickly ramped its 28nm and below technologies. Wafer revenue contribution from 28nm and below technologies grew significantly from 1% in 2011 to 48% in 2015. TSMC’s objective is to continue our R&D efforts in 28nm and below technologies and to increase the wafer revenue contribution from 28nm and below technologies, helping the Company achieve both profitable growth and energy savings. 28nm-and-below Contribution to Total Wafer Revenue (Unit: %) 2011 1 2012 12 2013 30 2014 42 2015 48 114 115 Chip Die Size Cross-Technology Comparison Die size reduces as line width shrinks 1 0.53 0.48 0.25 0.13 0.12 0.068 55nm 45nm 40nm 28nm 20SoC 16FF+ 10nm Chip Total Power Consumption Cross-Technology Comparison More power is saved as line width shrinks 1 55LP (1.2V) 0.6 40LP (1.1V) 0.3 0.2 0.09 0.06 28HPM (0.9V) 20SoC (0.9 V) 16FF+ (0.8 V) 10nm (0.75 V) 2. Provide Leading Power Management IC Process with the Highest Efficiency ● TSMC’s leading manufacturing technology helps customers design and manufacture green products. Power management ICs are the most notable green IC products. Power management ICs are the key components that regulate and supply power to all IC components. TSMC’s analog power technology R&D team uses 6-inch, 8-inch and 12-inch wafer fabs to develop Bipolar-CMOS-DMOS (BCD) and Ultra-High Voltage (UHV) technology, producing industry-leading power management chips with more stable and efficient power supplies and lower energy consumption for broad-based applications in consumer, communication, and computer markets. TSMC’s BCD is the best fit technology for high-efficiency LED drivers for Flat Panel Display Backlighting and indoor/outdoor Solid State LED lighting. In addition, TSMC’s UHV with 400V~800V options is the best fit technology for green product applications, such as “Energy Star” low standby AC-DC adaptors, Solid State LED lighting, and high-efficiency DC brushless motors. ● TSMC also provides analog and power-friendly design platforms. Customers use these platforms to develop energy-saving products. ● Power management ICs generate material revenue to TSMC’s industrial market segment. In 2015, TSMC’s HV/Power technologies collectively shipped more than 2 million wafers to customers. In total, power management ICs manufactured by TSMC accounted for more than one-third of global computer, communication and consumer systems. HV/Power Technologies Shipments (Unit: 8-inch equivalent wafer) 2011 >800K 2012 2013 2014 2015 >1,000K >1,300K >1,800K >2,000K 3. Green Manufacturing that Lowers Energy Consumption TSMC continues to develop technologies for more advanced and efficient manufacturing services that reduce energy/ resource consumption and pollution per unit as well as power consumption and pollution during product use. In each new technology generation, circuitry line widths shrink, making circuits smaller and lowering the energy and raw materials consumed for per unit manufacturing. In addition, the Company continuously provides process simplification and new design methodology based upon its manufacturing excellence to help customers reduce design and process waste to produce more advanced, energy-saving and environmentally-friendly products. To see the total energy savings and benefits realized in 2015 through TSMC’s green manufacturing, please refer to pages 113-114, “Environmental Accounting”. Social Contribution by TSMC Foundry Services 1. Unleash Customers’ Mobile and Wireless Chip Innovations that Enhance Mobility and Convenience ● The rapid growth of smartphones and tablets in recent years reflects strong demand for mobile devices. Mobile devices offer remarkable convenience, and TSMC contributes significant value to these devices, including: (1) new process technology helps chips achieve faster computing speeds in a smaller die area, leading to smaller form factors for these electronic devices. In addition, SoC technology integrates more functions into one chip, reducing the total number of chips in electronic devices and resulting in a smaller system form factor; (2) new process technology also helps chips consume less energy. People can therefore use mobile devices for a longer period of time; and (3) with more convenient wireless connectivity such as 3G/4G and WLAN/Bluetooth, people communicate more efficiently and can “work anytime and anywhere,” significantly improving the mobility of modern society. ● Mobile computing related products, such as Baseband, RF Transceiver, Application Processors (AP), Wireless Local Area network (WLAN), imaging sensors, and Near Field Communication (NFC), Bluetooth, Global Positioning System (GPS) among others, represent 51% of TSMC wafer revenue in 2015. TSMC’s growth in recent years has largely been driven by the growing global demand for these mobile IC products. Contribution of Mobile Computing Related Products to TSMC Wafer Revenue (Unit: %) 2011 36 2012 40 2013 44 2014 48 2015 51 Note: Mobile computing related products were re-classified in 2014. 2. Unleash Customers’ MEMS (Micro Electro Mechanical Systems) Innovations that Enhance Human Health and Safety ● In addition to smart-phones, tablets, and many other consumer electronic devices, TSMC-manufactured MEMS chips are widely used in medical treatment and health care applications. By leveraging the Company’s advanced technologies, more and more chips for these applications are introduced to the market, providing major contributions to modern medicine. Customers’ MEMS products are used in a number of advanced medical treatments as well as in preventative health care applications. Examples include early warning systems to minimize the injury from falls for the elderly, systems to detect physiological changes, car safety systems and other applications that greatly enhance human health and safety. 7.2.3 Safety and Health Safety and Health Management TSMC’s safety and health management is built on the framework of the OHSAS 18001 system and adheres to the management principle of “Plan, Do, Check, Act” to prevent accidents and protect employee safety and health as well as Company assets. All TSMC fabs in Taiwan have also received Taiwan Occupational Safety and Health Management System (TOSHMS) certification. Besides accident prevention, TSMC has established emergency response procedures to protect employees and contractors if a disaster should occur, as well as to prevent and to minimize the negative impact on society and the environment. TSMC continually communicates with its suppliers to ensure that potential risk in the operation of production equipment is minimized, and rigorously follows safety control procedures when installing production equipment. The Company places stringent controls on high-risk operations and also evaluates the seismic tolerance of its facilities and equipment to reduce the risk of earthquake damage. For epidemics, TSMC has established company-level prevention committees and procedures for emergency response to outbreaks of infectious diseases. Working Environment and Employee Safety and Health Protection TSMC’s ESH policy is focused on establishing a safe working environment, preventing occupational injury and illness, keeping employees healthy, enhancing every employee’s awareness and sense of accountability to ESH, and building an ESH culture. TSMC safety and health management operations apply to: ● Equipment Safety and Health Management In addition to meeting regulatory requirements and internal standards, as well as mitigating ESH-related risks when building or rebuilding facilities, TSMC also maintains procedures governing new equipment and raw materials, safety approvals for bringing new tools online, updating safety rules, seismic protection measures, and other safety measures. TSMC requires that all new tools meet SEMI-S8 requirements and that appropriate supplementary control measures be taken to reduce ergonomic risk. Moreover, TSMC endeavors to automate 300mm front-opening unified pod (FOUP) transportation to prevent accumulative damage caused by long-term manual handling of 300mm FOUPs. TSMC 300mm fabs have completed automatic transportation control. ● Environmental, Safety and Health Evaluation of New Tools and New Chemical Substances TSMC, as a technology leader in the worldwide semiconductor industry, operates many diversified process tools and new chemicals in the R&D stage. Before using those new tools and new chemicals, they are reviewed carefully by the “New Tools and New Chemical Review Committee”. The purpose is to ensure that new tools are compliant with the semiconductor industry’s safety standards (such as SEMI S2) and that new 116 117 chemicals’ environmental, safety and health concerns can be well controlled, including engineering controls, application of personal protection equipment, and operational safety training during storage, transportation, usage, and disposal. cooperation in handling accidents and to effectively minimize any damage caused by disasters. At least every two years, fab directors invite fab management and support functions and run crisis management drills for the potentially high-risk events such as earthquake, fire, and flood (Tainan site). ● General Safety Management, Training and Audit All TSMC manufacturing facilities hold environmental, safety and health committee meetings on a monthly basis. TSMC takes preventive measures such as controls on high-risk work, contractor management, chemical safety management, personal protective equipment requirements, and safety audit management. In addition, TSMC maintains detailed disaster response procedures and performs regular drills designed to minimize harm to employees and property, as well as the impact on society and the environment in the event of a disaster. ● Working Environment Hazardous Factors Management TSMC conducts workplace hazard assessment and interventions to provide a comfortable and safe workplace to employees. TSMC also requires employees to use personal protective equipment (PPE) to prevent hazardous exposures. TSMC performs semi-annual workplace environment assessments of physical and chemical hazards, including CO2 concentration, illumination, noise, and hazardous chemical substances regulated by domestic laws. The Company performs exposure assessment and takes hierarchy management for chemicals with health hazard from 2015 on. If abnormal measurements or events happen or the result of exposure assessment indicates there is adverse health effect for employees, site ESH professionals conduct onsite observation and interventions to reduce exposure to acceptable levels. ● Emergency Response The planning and execution of an effective emergency response should adapt high risk events from risk assessment as selective scenarios, the scope should cover severe events to have continuous improvement and practice drills. TSMC’s emergency response plans include procedures for rapid response crisis management and disaster recovery to potential incidents. All TSMC fabs conduct major annual emergency response exercises and evacuation drills. TSMC’s -Tainan site fabs continue their spot drills, which have been recognized as good practices. TSMC’s on-site service contractors also participate in emergency response planning and exercises to ensure In addition to the regular emergency response drills held by engineering and facilities departments each quarter, the Company’s laboratory, canteen, dormitory, and shuttle bus personnel also hold emergency response drills to prepare for events such as earthquakes, chemical leakage, ammonia release, fires and automobile accidents. ● Emerging Infectious Disease Response TSMC has a dedicated corporate ESH organization to monitor emerging infectious diseases around the world, to assess any potential impact on the workplace, and to provide an appropriate strategic response plan. In previous outbreaks (such as SARS in 2003 and the H1N1 influenza outbreak in 2009), TSMC convened the Corporate Influenza Response Committee to develop the Company’s strategies. These strategies include educating employees in prevention and response, publishing guidelines for managers, establishing guidelines for employee sick leave due to flu, and installing alcohol-based hand sanitizers at appropriate locations. The Committee also monitors the status of employee leave due to illness and, at the same time, develops a continuous plan to address manpower shortages as well as minimize business impact. TSMC believes that employees’ physical and mental health is not only fundamental to maintaining normal business operations but also part of a corporation’s responsibility. ● Employee Physical and Mental Health Enhancement To protect and promote employee physical and mental health, TSMC strives to eliminate cardiovascular disease that might be induced by overwork, night work or shift work; to prevent workplace violence, and to reduce ergonomic hazards. The Company seeks to promote mental health by collaborating with site ESH, site medical personnel, and physicians of occupational medicine. At the beginning of 2015, 48 employees with high risk for cardiovascular diseases, which is better than the average of general population, were screened out and, through planned personal health management, their risk profiles were reduced to moderate and low for 7 (15%) and 29 (60%) respectively. Regarding ergonomic hazard prevention, 61 employees with musculoskeletal disorders were identified with on-site operation visit, of which 9 have been either addressed with long-time follow-up or reassigned to another work. In order to promote employee mental health, in 2015, TSMC held 22 metal health symposiums with a total employee participation of 2,209, and the satisfaction rate was over 85%. TSMC also institutes hazard assessments, controls, lectured by a doctor, hierarchy management measures, and work adjustment or reassignment for work which is potentially hazardous to maternal health. In total, 1,078 pregnant employees were evaluated, of which two were reassigned to another work. Health promotion activities for employees include fitness programs, women’s health care programs, mother’s rooms, body weight control programs, sleep problem management, massage and chiropractic services, hepatitis and flu vaccinations, and health lectures. TSMC believes employees who are physically and mentally healthy can enjoy a better quality of life and be more productive. ● Contractor Self Evaluation and Management of Health To mitigate safety risks resulting from the sudden onset of illness, TSMC launched the Contractor Self Evaluation and Management of Health Program at Fab12B in 2014. Contractors performing high-risk work, such as work at heights and at cleanroom ceilings, are required to check the health status in advance for those workers undertaking such high-risk tasks. Those determined to have chronic illness and self-reported symptoms must visit a doctor for physical evaluation and treatment to reduce health and safety risks in workplace. Supplier and Contractor Management ● Supplier Management As a means of enhancing its supply chain management, TSMC is committed to communicating with and encouraging its contractors and suppliers to improve their quality, cost effectiveness, delivery performance and sustainability on environmental protection, safety and health. Through regular communication with senior managers, site audits and experience sharing, TSMC collaborates with major suppliers and contractors to enhance partnership and ensure continual improvement for better performance and increased joint contributions to society. As noted above, contractors performing high-risk activities must lay out clearly defined safety precautions and preventative measures. In addition, contractors working on high-risk engineering projects must establish OHSAS 18001 systems and the workers must successfully complete work skill training. ● Supply Chain Sustainability TSMC works with its suppliers in several fields of sustainable development, such as greening the supply chain, carbon management for climate change, mitigation of fire risk, ESH management and business continuity plans for natural disasters. Since becoming a full member of the Electronic Industry Citizenship Coalition (EICC) in 2015, TSMC has completed the adoption of the EICC Code of Conduct across the Company by performing self-assessments at its facilities worldwide and reviewing policies and procedures in the areas of labor, health and safety, environment, ethics, and management system. To enhance supply chain sustainability and streamline the supply chain’s risk management, TSMC is committed to collaborating with its suppliers to maintain full compliance with the Taiwan environmental, safety, health and fire regulations, and to establish the necessary management capability as well as continuous enhancement. TSMC is subject to the U.S. Securities & Exchange Commission (SEC) disclosure rule on conflict minerals released under Rule 13p-1 of the U.S. Securities Exchange Act of 1934. As a recognized global leader in the high-tech supply-chain, the Company acknowledges its corporate social responsibility to strive to procure conflict free minerals in an effort to recognize humanitarian and ethical social principles that protect the dignity of all people. To this end, TSMC has implemented a series of compliance safeguards in accordance with leading industry practices such as adopting the due diligence framework in the OECD’s Model Supply Chain Policy for a Responsible Global Supply Chain of Minerals from Conflict-Affected and High Risk Areas issued in 2011. TSMC is one of the strongest supporters of the Electronic Industry Citizenship Coalition and the Global e-Sustainability Initiative (GeSI), and this will help our suppliers source conflict-free minerals through their jointly developed Conflict-Free Smelter Program (CFSP). TSMC has asked its suppliers to disclose and timely update information on smelters 118 119 and mines since 2011. TSMC also encourage its suppliers to source minerals from facilities or smelters that have received a “conflict-free” designation by a recognized industry group (such as the EICC). TSMC also requires those who haven’t received such designation to become compliant with CFSP or an equivalent third-party audit program. TSMC requires the use of tantalum, tin, tungsten and gold in its products that are conflict-free. TSMC will continue to renew our supplier survey annually and require our suppliers to improve and expand their disclosure to fulfill regulatory and customer requirements. For further information, please see our Form SD filed with the U.S. SEC. (http://www.tsmc.com/english/investorRelations/ sec_filings.htm) 7.3 TSMC Education and Culture Foundation The TSMC Education and Culture Foundation (TSMC Foundation) is led by TSMC Vice Chairman F.C. Tseng, who serves as the Foundation’s Chairman. Established in 1998 to coordinate the Company’s financial sponsorship as part of its efforts in corporate social responsibility, the Foundation devotes its resources to education, promotion of the arts and cultural events, community building, and the employee Volunteer Program. In 2015, the TSMC Foundation contributed over NT$68.4 million to its long-term projects for arts promotion and humanity education. In 2015, the Foundation sponsored the National Theatre and Concert Hall to invite the Royal Concertgebouw Orchestra to visit Taiwan and, with the National Symphony Orchestra, jointly produce the opera Fidelio. Both of these performances provided the Taiwan people with extraordinary performing arts. With contributions such as these, the Foundation hopes to lead the trend of promoting the arts and enriching the spiritual life of society in general. Commitment to Education Education is the most important priority for the progress of a nation. The TSMC Foundation tailors its various programs to target a whole range of educational needs at various age levels. At the primary school level, the Foundation emphasizes aesthetics education, and for many years has contributed resources to a variety of children’s art education programs, including the “TSMC Aesthetic Tour,” which, over the past 13 years, has taken more than 90,000 children from remote townships to visit the National Palace Museum, the Taipei Fine Arts Museum and other fine arts sites. At the high school level, to enhance the student appreciation of the sciences and humanities, the Foundation supports and organizes science camps, contests, and humanity activities. The Foundation continued to sponsor The Center for Advanced Science Education at National Taiwan University to hold the competition, “TSMC Cup – Competition of Scientific Story Telling”. This year the competition focused on “light” and invited senior high school students to read respected books on the subject, write essays on reading and thought, and deliver short scientific talks on cultivating logical thinking, argumentation and presentation. The Foundation also supports three science talent camps – Wu Chien-Shiung Science Camp, Wu Ta-Yu Science Camp and Madame Curie Senior High School Chemistry Camps – to provide talented students with the opportunity to meet and learn from the world-class scientists with the goal of inspiring the students and helping them realize their potential. This year the TSMC Foundation also sponsored the National Taiwan Science Education Center (NTSEC) to organize Taiwan International Science Fair, from which two Taiwan groups were selected to participate in the International Science and Engineering Fair (ISEF) in Netherlands. One team earned a gold medal and the second earned the bronze medal. In the humanities, the TSMC Foundation supports “Hope Reading” of the CommonWealth Foundation that donates good books to 30 junior high schools of Taiwan’s remote townships to promote the habit of reading among underprivileged teenagers. The Foundation also held “the TSMC Youth Literature Award” and “TSMC Youth Calligraphy Contest” to showcase literacy and excellence in art of talented youth and enrich their humanity. At the college level, in addition to endowing chair professorships to enhance academic research at Taiwan universities, the TSMC Foundation continued to sponsor the “Rising Sun Plan” of National Tsing Hua University and the “Sunflower Plan”. To bridge the unbalanced educational resources allocation caused by the gap between rich and poor, the plan provides underprivileged students a chance to enter top-notch universities with financial supports. And to encourage young writers, the Foundation continued to collaborate with the INK Literature Magazine to bestow the 3rd TSMC Literature Award attracting hundred submitted works. Promotion of Arts and Culture The TSMC Education and Culture Foundation has made considerable efforts over the years to promote the arts and culture. In addition to actively assisting prominent Taiwanese art groups to gain recognition on the international stage, TSMC also provides support for performances held in Taiwan by arts groups from around the world, giving the Taiwanese a chance to view the world’s finest right at home. This year the Foundation sponsored the Royal Concertgebouw Orchestra to visit Taiwan second time since this permanent performing group visited Taiwan in 2006. Led by the prominent conductor, Gustavo Gimeno, the orchestra presented two symphonic classics: Beethoven’s Pastorale and Tchaikovsky’s Pathetique. The Foundation also collaborated with the National Theatre and Concert Hall to create an exhibition tracing the Orchestra’s history in the Concert Hall lobby, to invite 100 remote townships students to attend the concert, and to organize a live broadcast of the concert on the Arts Plaza of National Theater and Concert Hall, attracting an audience of over 5,000. In addition to inviting international orchestras, the TSMC Foundation also supported Taiwan’s performing arts groups. Besides the TSMC Hsin-chu Arts festival of regular invitations, the Foundation continued to sponsor National Symphony Orchestra to collaborate with Zurich Opera Theatre to present Beethoven’s one and only opera, Fidelio. This exquisite opera production not only amazed the audience but, more importantly, also provided Taiwan performing arts groups with invaluable international theater production experience and uplifting their performing arts. participants could feel the atmosphere of an oriental salon. The Foundation also invited Professor Li Hon-chi, Emeritus Professor of New York University, to introduce the audience to the Protestant Reformation Era in a coffee shop. Building Community by Arts The Foundation has long played the role of “fine arts planter” to spread the seeds of fine arts to the community through continuous art activities. At TSMC’s site communities, Hsinchu, Taichung and Tainan, the Foundation annually organizes “TSMC Hsinchu Arts Festival” to present a broad spectrum of performances to meet the communities’ interests in art. The theme of 2015 TSMC Hsin-chu Arts Festival was ”Hero”. The Foundation invited top artists to share their heroic way to achieve their goals by theatrical arts, music, poetry and video. Promoting Chinese theatrical arts is always one of the main goals of the Festival. Therefore, the Festival invited the Xin-Xin Nanguan Ensemble, the GuoGuang Opera Company and Professor Huang Yi-Long to introduce audience to the beauty of Chinese Traditional Arts by Nanguan Music, Chinese Opera and History. Also the Festival organized a variety of marvelous concerts by prestigious musicians, including the English cellist Steven Isserlis, French classical pianist Jean-Efflam Bavouzet, and the National Symphony Orchestra. The NSO laureate conductor Gunther Herbig and the Armenian violinist Sergey Khachatryan, the winner of the 2005 Queen Elisabeth Music Competition, performed the Beethoven’s Classic Symphony Eroica and Max Bruch’s First Violin Concerto, evoked a standing ovation by the whole audience. The TSMC Foundation has a long-term commitment to promote Chinese Traditional Classics. Through lectures, broadcast programs and audio books, the Foundation relives the Classics that enable audiences to easily understand traditional Chinese philosophy and wisdom. Since 2008, the TSMC Foundation has invited Professor Hsin Yih-yun to produce Chinese Classics broadcast programs on the IC Radio Broadcasting Station. The programs are extremely popular and followed by Chinese audiences all over the world. The Foundation also held innovative lectures with unique decorations and arrangements to narrow the gap between the audience and the speakers and let the audience feel the appeal of the Classics. Dream of Red Chamber Lectures delivered by Professor Huang Yi-Long were conducted in tea banquets so Also in 2015, the TSMC Hsin-chu Arts Festival made a major effort to uplift the community through the power of the arts. The festival facilitated a connection between National Theater-Concert Hall (NTCH) Opera Workshop Artists and the Taiyen Music School to perform the children’s opera Sleeping Beauty. All box office income was donated to Taiyen Music School for cultivating students’ music talent. To celebrate the Dragon Boat Festival, the Hins-chu Arts Festival organized a unique concert at Hsinchu Park by inviting renowned poets, Cheng Chou-yu, Lin Yangmin and Hsu Huei-chih. The closing concert combined sand paintings by Chuang Min-Da and a performance of Taiwan String Orchestra to salute the four seasons. All in all, the Festival arranged around 30 activities and attracted over 20,000 attendees. 120 121 7.4 TSMC Volunteer Program TSMC takes corporate social responsibility seriously, and the TSMC Volunteer Program, led by Mrs. Sophie Chang, President of the Program, is dedicated to promoting education and culture, providing aid for the underprivileged, advocating energy savings, and caring for the community. The program aims to provide a host of channels, encouraging employees to utilize personal professions and capabilities to give back to the society. Employees and their families can take part in a variety of programs including: ● TSMC Volunteer Docent Program ● TSMC Book Reading Volunteer Program ● TSMC Energy-savings Volunteer Program ● TSMC Community Volunteer Program ● TSMC Ecology Volunteer Program ● TSMC Fab/Division Volunteer Program TSMC Volunteer Docent Program To promote science education and enhance people’s understanding of the semiconductor industry, TSMC made a donation to the National Museum of Natural Science in Taichung in 1997 to set up an exhibition hall, “The World of the Integrated Circuits”. The hall was renovated twice, and then replaced entirely in 2011 with “The World of Semiconductors” exhibition hall. TSMC Volunteer Docent Program was established in 2004 to provide visitors with guided tours. In 2015, a total of 1,300 volunteers with 8,782 dedicated service hours were recorded; the cumulative total reached more than 69,001 service hours. The docents’ enthusiasm and professionalism were highly praised by visitors. The group has continuously been recognized as the “Outstanding Volunteer Team” by the National Museum of Science. TSMC Book Reading Volunteer Program To help reduce the disparity of educational resources between rural and urban schools, TSMC Foundation started sponsoring the “Hope Reading Program” organized by CommonWealth Magazine in 2004 with the annual donation of 20,000 books to 200 schools in remote and rural areas. Following on the early efforts of TSMC Foundation, the TSMC Book Reading Volunteer Program was established in 2005. In 2015, almost 700 volunteers devoted in excess of 9,510 hours of services to eight remote schools in Hsinchu, Taichung and Tainan; the cumulative total reached more than 48,555 service hours. TSMC Energy Savings Volunteer Program Leveraging the expertise of TSMC employees in energy savings, TSMC Energy Savings Volunteer Program was established in 2008 to assist schools looking to improve environmental safety and reduce electricity telecommunication costs, as well as water and air-conditioning consumption. After assessing the facilities, measuring and collecting data, and evaluating power efficiency, the teams proposed energy-saving plans and ways to reduce carbon emissions to the schools. In 2015, 60 energy savings volunteers devoted 1,100 hours in Taipei, Hsinchu, Taichung, Tainan and Kaohsiung areas. TSMC Community Volunteer Program When Typhoon Morakot struck Southern Taiwan in 2009, TSMC employees, deeply saddened by the suffering it caused, quickly established Typhoon Morakot Project Team. Demonstrating strong teamwork, effectiveness and precision, the team provided timely assistance and relief measures to the typhoon victims. Typhoon Morakot Project Team was transformed into TSMC Community Volunteer Program in 2010, aimed at reaching out to those in need, including both the elderly and children. The TSMC Community Volunteer Program mainly serves the elderly at Hsinchu Veterans Home and the children at St. Teresa Children Center. In 2015, more than 460 volunteers participated regularly in activities and were closely connected to the elderly and the children. Two Holiday Volunteer activities were held in July and August 2015 when TSMC Community and Fab/Division Volunteers invited the children they served in the Book Reading Volunteer Program and long-term companionship from Hsinchu, Taichung, and Tainan to “Leofoo” and “EDA” theme parks. With well-designed activities, these children from remote areas spent a wonderful holiday together. TSMC Ecology Volunteer Program The TSMC Ecology Volunteer Program was launched in 2012. In 2015, 580 volunteers donated their time to the cause of environmental protection. Volunteers were trained as ecology docents to share natural ecology concepts with school children and the public visiting the selected areas. Activities in 2015 included the following: ● Hsinchu Fab 12B ecology park docent: more than 230 employees took part and the Company invited more than 300 students and teachers from ten elementary schools to visit TSMC’s ecology park. ● Taichung Fab 15 ecology park docent: 110 employees took part and the Company invited more than 200 students and teachers from five elementary schools to visit TSMC’s ecology park in Taichung. ● Tainan Jacana ecology education park docent: over 230 employees and their family members were recruited to serve as volunteer docents at the Jacana ecology education park on weekends and holidays. TSMC Fab/Division Volunteer Program Employees on the Fab/Division level devoted themselves to various welfare activities for causes such as “Strengthening Environment Protection,” and “Caring for the Disadvantaged” to meet TSMC Corporate Social Responsibility Policy. These included environmental protection, promotion of energy conservation, caring of the disadvantaged, promotion of education, help for farmers and workers, and charitable donation. 1. Strengthening Environment Protection ● Environmental Protection In 2015, volunteers held a charity bazaar by selling water chestnuts from the Guantian Jacana Park and using the proceeds to fix and replace telescopes in the park to improve the quality of the eco tours. In Tainan, volunteers helped reactivate the water purification plant on Monuments Mountain and held cultural and environmental tours to bring new life to the historical site. ● Energy Conservation Despite severe competition in the technology industry, the Company never forgets to cherish the environment. Seminars concerning energy consumption and power reduction continued to be held in 2015 to share TSMC’s knowledge and technology of green buildings and energy savings accomplishments. Through those efforts, the Company hopes to root green power deeply into the minds of other corporations. 2. Caring for the Disadvantaged ● Long-term Companionship Beyond employees’ continuous and enthusiastic support to repair and maintain old houses of people in need, provide daily supplies and necessities, and offer warm companionship, TSMC volunteers find new ways to enrich the lives of children. In 2015, employees collected and provided used cameras for children living in remote areas, leading them to see the world in a different way through the camera. In addition, meal fees were donated to children of the Kuskus tribe in southern Taiwan, and promotions of their culture of old ballads were conducted. Volunteers also supported Hui-Ming School for the Blind and the underprivileged baseball team by giving them the stage and means to perform. Lastly, they also taught girls from St. Francis Xavier Home for Girls to learn skills and developed their interests in handicraft and baking. ● Promotion of Education In 2015, volunteers spread the seed of education further to Guang-Rong Elementary School. The volunteers donated new and used books to inspire the children’s interest in reading. They provided guidance to students on their school work to strengthen their comprehension and understanding and they also helped to renovate the library to provide a larger place to read for students. ● Help for Farmers and Workers In 2015, TSMC volunteers supported farmers and fishermen to divide water bamboo’s offshoot and string oysters. Plus they collaborated with Formosa Charity Group to build dorms and classrooms for the teenagers in the orphanage, raise funds and resources, and repair the abandoned elementary school to accommodate more people in need. ● Charitable Donation Charity bazaars and group sales were held in fabs from time to time and, in the belief that even a small donation will make a difference, the accumulated profits were donated to charities. In 2015, employees purchased goods from charities as Mid-Autumn Festival gifts, and the revenue from group sales for Thanksgiving were donated to Hui-Ming School for the Blind. 122 123 7.5 TSMC i-Charity “TSMC i-Charity” is an interactive online platform launched in 2014 for employees to proactively take part in philanthropic activities and give back to society. The intranet opens a channel for TSMC employees to propose caring projects, share results, suggest new ideas and participate in philanthropic events directly and in a timely manner. In 2015, 9,600 employees participated the following projects: ● Charity Bazaar of Stamps ● Re-layout of Kuang-Ron Elementary School’s Library ● Re-layout of Kuang-Ron Elementary School’s Library ● Caring Project of the Injured of Formosa Fun Coast Explosion ● Caring Project for 3 hearing impaired pre-school children ● Construction project for Shan-Hu branch of Po-Shan Elementary School ● Caring Project for the Special Education Center at Chu-Nan With this interactive platform, TSMC hopes to maintain its commitment to society and encourage employees to join in efforts to care for and give back to society in all ways. 7.6 Social Responsibility Implementation Status as Required by the Taiwan Financial Supervisory Commission Assessment Item Implementation Status Yes No Summary V V 1. Implementation of Corporate Governance (1) Does the Company have a corporate social responsibility policy and evaluate its implementation? (2) Does the Company hold regular CSR training? (3) Does the Company have a dedicated (or ad-hoc) CSR organization with Board of Directors authorization for senior management, which reports to the Board of Directors? (4) Does the Company set a reasonable compensation policy, integrate employee appraisal with CSR policy, and set clear and effective incentive and disciplinary policies? 2. Environmentally Sustainable Development (1) Is the Company committed to improving resource efficiency and to the use of renewable materials with low environmental impact? (2) Has the Company set an Environmental management system designed to industry characteristics? (3) Does the Company track the impact of climate change on operations, carry out greenhouse gas inventories, and set energy conservation and greenhouse gas reduction strategy Non-implementation and Its Reason(s) None (1) Please refer to “7. Corporate Social Responsibility” on pages 106-125 of this Annual Report. (2) Please refer to “3.5 Code of Ethics and Business Conduct” on pages 39-42 of this Annual Report (3) Please refer to “7. Corporate Social Responsibility” on pages 106-125 of this Annual Report. (4) Social responsibility is regarded as an integral part of corporate governance by TSMC. TSMC’s fair compensation policy is set with consideration of the goals of the Company’s corporate governance and operation; corporate social responsibility is included as part of its indices. For further details, please refer to “5.5 Employees” on pages 75-79 of this Annual Report. Please refer to “7.2.1 Environmental Protection” on pages 112-114 of this Annual Report. None (Continued) Non-implementation and Its Reason(s) None Assessment Item Implementation Status Yes No Summary 3. Promotion of Social Welfare V (1) Does the Company set policies and procedures in compliance with regulations and internationally recognized human rights principles? (1) Please refer to “5.5 Employees” on pages 75-79 of this Annual Report. (2) Has the Company established appropriately managed employee appeal (2) Please refer to “5.5 Employees” on pages 75-79 of this Annual Report. procedures? (3) Does the Company provide employees with a safe and healthy working (3) Please refer to “7.2.3 Safety and Health” on pages 117-120 of this Annual environment, with regular safety and health training? Report. (4) Has the Company established a mechanism for regular communication with employees and use reasonable measures to notify employees of operational changes which may cause significant impact to employees? (4) Please refer to “5.5 Employees” on pages 75-79 of this Annual Report. (5) Has the Company established effective career development training (5) Please refer to “5.5 Employees” on pages 75-79 of this Annual Report. plans? (6) Has the Company set polices and consumer appeal procedures in its R&D, (6) Not applicable as TSMC is not an end product manufacturer. purchasing, production, operations, and service processes? (7) Does the Company follow regulations and international standards in the (7) Not applicable as TSMC is not an end product manufacturer. marketing and labelling of its products and services? (8) Does the company evaluate environmental and social track records before (8) Please refer to “Supplier and Contractor Management” on page 119-120 of engaging with potential suppliers? this Annual Report. (9) Does the Company’s contracts with major suppliers include termination clauses if they violate CSR policy and cause significant environmental and social impact? (9) Please refer to “Risks Associated with Purchase Concentration” in 6.3.3 Operational Risks of this Annual Report. 4. Enhanced Information Disclosure V Does the Company disclose relevant and reliable CSR information on its website and the Taiwan Stock Exchange website? TSMC has published a “Corporate Social Responsibility Report” since 2008, and discloses this on the Company’s website (http://www.tsmc.com/english/ csr/index.htm). None 5. If the company has established its corporate social responsibility code of practice according to “Listed Companies Corporate Social Responsibility Code of Practice,” please describe the operational status and differences. TSMC follows the Corporate Social Responsibility Policy set by the Chairman, Dr. Morris Chang. For our corporate social responsibility operational status, please refer to “7. Corporate Social Responsibility” on pages 106-125 of this Annual Report and our corporate social responsibility related information in our website: http://www.tsmc.com/english/csr/index.htm 6. Other important information to facilitate better understanding of the company’s implementation of corporate social responsibility: Please refer to TSMC’s website for its corporate social responsibility implementation status: http://www.tsmc.com/english/csr/index.htm 7. Other information regarding “Corporate Responsibility Report” which is verified by certifying bodies: TSMC’s Corporate Social Responsibility Report is in accordance with the GRI G4 guidelines comprehensive option and verified by certifying bodies. 124 125 8. Subsidiary Information and Other Special Notes 8.1 Subsidiaries 8.1.1 TSMC Subsidiaries Chart TSMC North America Shareholding: 100% TSMC Europe B.V. Shareholding: 100% TSMC Japan Limited Shareholding: 100% TSMC Korea Limited Shareholding: 100% TSMC China Company Limited Shareholding: 100% TSMC Partners, Ltd. Shareholding: 100% TSMC Global Ltd. Shareholding: 100% TSMC Technology, Inc. Shareholding: 100% As of 12/31/2015 TSMC Development, Inc. Shareholding: 100% WaferTech, LLC Shareholding: 100% InveStar Semiconductor Development Fund, Inc. Shareholding: 97.09% InveStar Semiconductor Development Fund, Inc. (II) LDC. Shareholding: 97.09% TSMC Design Technology Canada Inc. Shareholding: 100% VisEra Holding Company (Note 2) Shareholding: 98.29% VisEra Technologies Company Ltd. (Note 2) Shareholding: 86.94% Taiwan Semiconductor Manufacturing Company Limited Emerging Alliance Fund, L.P. Shareholding: 99.5% VentureTech Alliance Holdings, LLC Shareholding: 100% VentureTech Alliance Fund II, L.P. Shareholding: 98% Mutual-Pak Technology Co., Ltd. Shareholding: 58.33% VentureTech Alliance Fund III, L.P. Shareholding: 98% Growth Fund Limited Shareholding: 100% TSMC Solar Europe GmbH (Note 1) Shareholding: 100% Chi Cherng Investment Co., Ltd. (Note 3) Shareholding: 100% Note 1: In August 2015, TSMC Solar Ltd. (“TSMC Solar”) ceased its manufacturing operations. TSMC Solar and TSMC Guang Neng Investment, Ltd. were incorporated into TSMC on December 14, 2015. After the incorporation, TSMC Solar Europe GmbH, the 100% owned subsidiary of TSMC Solar, is held directly by TSMC. TSMC Solar North America, the 100% owned subsidiary of TSMC Solar, completed the liquidation procedures in December 2015. Note 2: TSMC acquired OmniVision Technologies, Inc.'s (“OVT's”) 49.1% ownership in VisEra Holding Company (“VisEra Holding”) and 100% ownership in Taiwan OmniVision Investment Holding Co. (“OVT Taiwan”) on November 20, 2015. As a result, TSMC has obtained controls of VisEra Holding and OVT Taiwan; and has consolidated VisEra Holding, its subsidiary VisEra Technologies Company Ltd., and OVT Taiwan since November 20, 2015. Note 3: OVT Taiwan was renamed as Chi Cherng Investment Co., Ltd. in December 2015. 126 126 127 127 8.1.2 Business Scope of TSMC and Its Subsidiaries 8.1.4 Shareholders in Common of TSMC and Its Subsidiaries with Deemed Control and Subordination: None. 8.1.5 Rosters of Directors, Supervisors, and Presidents of TSMC’s Subsidiaries Unit: NT$(USD), except shareholding Company (Note 1) Title Name As of 12/31/2015 Shareholding Shares (Investment Amount) % (Investment Holding %) TSMC and its subsidiaries strive to provide the best foundry services in the industry. Subsidiaries in North America, Europe, Japan, China, and South Korea are dedicated to serving TSMC customers worldwide. WaferTech in the United States and TSMC China provide additional 8-inch wafer capacity. Other subsidiaries support the Company’s core foundry business with related services such as design service and invest in start-up companies involved in design, manufacturing, and other related businesses in the semiconductor industry. 8.1.3 TSMC Subsidiaries Unit: NT(USD, EUR, JPY, KRW, RMB, CAD)$ thousands As of 12/31/2015 Company (Note 1) TSMC North America TSMC Europe B.V. TSMC Japan Limited TSMC Korea Limited Date of Incorporation Place of Registration Capital Stock Business Activities Jan. 18, 1988 San Jose, California, U.S. US$ 11,000 Selling and marketing of integrated circuits and semiconductor devices Mar. 04, 1994 Amsterdam, The Netherlands EUR 100 Marketing and engineering supporting activities Sep. 10, 1997 May 02, 2006 Yokohama, Japan JPY 300,000 Marketing activities Seoul, Korea KRW 400,000 Customer service and technical supporting activities TSMC China Company Limited Aug. 04, 2003 Shanghai, China RMB 4,502,080 Manufacturing and selling of integrated circuits at the order of and pursuant to product design specifications provided by customers TSMC Technology, Inc. Feb. 20, 1996 Delaware, U.S. US$ 0.001 Engineering support activities TSMC North America TSMC Europe B.V. TSMC Japan Limited TSMC Korea Limited InveStar Semiconductor Development Fund, Inc. Sep. 10, 1996 Cayman Islands US$ 600 Investing in new start-up technology companies TSMC China Company Limited InveStar Semiconductor Development Fund, Inc. (II) LDC. Aug. 25, 2000 Cayman Islands US$ 9,578 Investing in new start-up technology companies TSMC Development, Inc. WaferTech, LLC Feb. 16, 1996 Jun. 03, 1996 Delaware, U.S. Delaware, U.S. US$ 0.001 Investment activities US$ 0 TSMC Partners, Ltd. Mar. 26, 1998 British Virgin Islands US$ 988,268 TSMC Design Technology Canada Inc. May 28, 2007 Ontario, Canada CAD 2,434 Engineering support activities TSMC Global Ltd. Jul. 13, 2006 British Virgin Islands US$ 5,284,000 Investment activities Mutual-Pak Technology Co., Ltd. Mar. 22, 2006 New Taipei City, Taiwan NT$ 268,184 Manufacturing of electronic parts, wholesaling and retailing of electronic materials, and researching, developing and testing of RFID Emerging Alliance Fund, L.P. (Note 2) VentureTech Alliance Fund II, L.P. Jan. 10, 2001 Feb. 27, 2004 Cayman Islands Cayman Islands US$ 24,255 Investing in new start-up technology companies US$ 14,911 Investing in new start-up technology companies Manufacturing, selling, testing and computer-aided designing of integrated circuits and other semiconductor devices Investing in companies involved in the design, manufacture, and other related business in the semiconductor industry TSMC Technology, Inc. InveStar Semiconductor Development Fund, Inc. InveStar Semiconductor Development Fund, Inc. (II) LDC TSMC Development, Inc. VentureTech Alliance Fund III, L.P. Mar. 25, 2006 Cayman Islands US$ 102,382 Investing in new start-up technology companies WaferTech, LLC Growth Fund Limited VentureTech Alliance Holdings, LLC TSMC Solar Europe GmbH (Note 1) May 30, 2007 Apr. 25, 2007 Dec. 17, 2010 Cayman Islands Delaware, U.S. US$ 1,462 Investing in new start-up technology companies N/A Investing in new start-up technology companies Hamburg, Germany EUR 400 Selling of solar modules and related products and providing customer service VisEra Holding Company (Note 3) Mar. 31, 2005 Cayman Islands US$ 87,500 Investment activities VisEra Technologies Company Ltd. (Note 3) Dec. 01, 2003 Hsinchu, Taiwan NT$ 2,911,531 Engaged in manufacturing electronic spare parts and in researching, developing, designing, manufacturing, selling, packaging and testing of color filter Chi Cherng Investment Co. Ltd. (Note 3) Sep. 18, 2007 Taipei, Taiwan NT$ 366,000 Investment activities Note 1: TSMC Solar Ltd. (“TSMC Solar”) ceased manufacturing operations in August 2015. TSMC Solar and TSMC Guang Neng Investment, Ltd. were merged into TSMC on December 14, 2015. In the third quarter of 2015, the Board of Directors of TSMC Solar also approved the dissolution of TSMC Solar North America, Inc. and TSMC Solar Europe GmbH. TSMC Solar North America completed the dissolution in December 2015. Note 2: Due to the expiration of the investment agreement between Emerging Alliance Fund, L.P. and TSMC, Emerging Alliance Fund, L.P. will complete its liquidation procedures in April 2016. Note 3: Pursuant to TSMC Board’s approval on August 11, 2015, TSMC acquired OmniVision Technologies, Inc.’s 49.1% ownership in VisEra Holding Company (“VisEra Holding”) and 100% ownership in Taiwan OmniVision Investment Holding Co. Inc. (“OVT Taiwan”) on November 20, 2015. As a result, TSMC has obtained controls of VisEra Holding and OVT Taiwan; and has consolidated VisEra Holding, its subsidiary VisEra Technologies Company Ltd., and OVT Taiwan since November 20, 2015. After the acquisition, OVT Taiwan changed its name to Chi Cherng Investment Co., Ltd. TSMC Partners, Ltd. TSMC Design Technology Canada Inc. Director Director President Director Director President Director Director Supervisor President Director Director Director Chairman Director Director Supervisor President Chairman Director President Sylvia Fang Rick Cassidy Rick Cassidy Wendell Huang Maria Marced Maria Marced Chih-Chun Tsai Makoto Onodera Lora Ho Makoto Onodera Shing-Wha Lin Chih-Chun Tsai Wendell Huang F.C. Tseng M.C. Tzeng L.C. Tu Lora Ho L.C. Tu Lora Ho Cliff Hou Cliff Hou Director Wendell Huang Director Wendell Huang Chairman Director President Director Director President Director Director President Director Director Director President Lora Ho Sylvia Fang Lora Ho M.C. Tzeng Steve Tso Tsung-Chia Kuo Lora Ho Sylvia Fang Lora Ho Cliff Hou Cormac Michael O’Connell Sylvia Fang Cliff Hou - - - TSMC holds 11,000,000 shares - - - TSMC holds 200 shares - - - - TSMC holds 6,000 shares - - - TSMC holds 80,000 shares - - - - - (TSMC’s investment US$596,000,000) - - - TSMC Partners, Ltd. holds 10 shares - TSMC Partners, Ltd. holds 582,523 shares - TSMC Partners, Ltd. holds 9,298,625 shares - - - TSMC Partners, Ltd. holds 10 shares - - - TSMC Development, Inc. holds 293,636,833 shares - - - TSMC holds 988,268,244 shares - - - - TSMC Partners, Ltd. holds 2,300,000 shares - - - 100% - - - 100% - - - - 100% - - - 100% - - - - - (100%) - - - 100% - 97.09% - 97.09% - - - 100% - - - 100% - - - 100% - - - - 100% (Continued) 128 129 Company (Note 1) Title Name Shareholding Shares (Investment Amount) % (Investment Holding %) TSMC Global Ltd. Mutual-Pak Technology Co., Ltd. Emerging Alliance Fund, L.P. (Note 2) VentureTech Alliance Fund II, L.P. VentureTech Alliance Fund III, L.P. Growth Fund Limited VentureTech Alliance Holdings, LLC TSMC Solar Europe GmbH (Note 1) VisEra Holding Company (Note 3) VisEra Technologies Company Ltd. (Note 3) Chi Cherng Investment Co. Ltd. (Note 3) Director Director Chairman Director Director Supervisor President None None None None None Director Director Director Director Chairman Director Director Supervisor President Chairman Director Director Supervisor Lora Ho Sylvia Fang Hsu-Tung Chen Lewis Hwang Representative of VentureTech Alliance Fund III, L.P.: Juine-Kai Tseng Wei-Pong Lin Lewis Hwang None None None None None Lora Ho C.H. Chen Robert Kuan J.K. Lin Robert Kuan J.K. Lin George Liu Wendell Huang S.C. Hsin Lora Ho J.K. Lin Sylvia Fang Wendell Huang - - TSMC holds 5,284 shares 1,107,010 shares 2,508,000 shares 15,643,347 shares 30,000 shares 2,508,000 shares (TSMC’s investment US$24,134,090) (TSMC’s investment US$14,613,019) (TSMC’s investment US$100,334,790) (VentureTech Alliance Fund III, L.P.’s investment US$1,461,768) None - - TSMC holds 800 shares - - TSMC Partners, Ltd holds 86,000,000 shares 54,600 shares - - - - VisEra Holding Company holds 253,120,000 shares - - - - TSMC holds 36,600,000 shares - - 100% 4.13% 9.35% 58.33% 0.11% 9.35% (99.50%) (98.00%) (98.00%) (100%) (100%) - - 100% - - 98.29% 0.02% - - - - 86.94% - - - - 100% Note 1: TSMC Solar Ltd. (“TSMC Solar”) ceased manufacturing operations in August 2015. TSMC Solar and TSMC Guang Neng Investment, Ltd. were merged into TSMC on December 14, 2015. In the third quarter of 2015, the Board of Directors of TSMC Solar also approved the dissolution of TSMC Solar North America, Inc. and TSMC Solar Europe GmbH. TSMC Solar North America completed the dissolution in December 2015. Note 2: Due to the expiration of the investment agreement between Emerging Alliance Fund, L.P. and TSMC, Emerging Alliance Fund, L.P. will complete its liquidation procedures in April 2016. Note 3: Pursuant to TSMC Board’s approval on August 11, 2015, TSMC acquired OmniVision Technologies, Inc.’s 49.1% ownership in VisEra Holding Company (“VisEra Holding”) and 100% ownership in Taiwan OmniVision Investment Holding Co. Inc. (“OVT Taiwan”) on November 20, 2015. As a result, TSMC has obtained controls of VisEra Holding and OVT Taiwan; and has consolidated VisEra Holding, its subsidiary VisEra Technologies Company Ltd., and OVT Taiwan since November 20, 2015. After the acquisition, OVT Taiwan changed its name to Chi Cherng Investment Co., Ltd. 8.1.6 Operational Highlights of TSMC Subsidiaries (Note) Unit: NT$ thousands, except EPS ($) Company Capital Stock Assets Liabilities Net Worth Net Revenues Income (Loss) from Operation Net Income (Loss) Basic Earning (Loss) Per Share As of 12/31/2015 TSMC North America TSMC Europe B.V. TSMC Japan Limited TSMC Korea Limited 361,845 65,263,171 61,028,486 4,234,685 570,613,863 3,600 81,990 11,200 461,865 185,078 38,486 131,201 57,625 3,255 330,664 127,453 35,231 468,106 223,283 29,146 177,282 49,915 9,751 2,650 98,802 38,825 3,533 3,090 TSMC China Company Limited 22,806,637 43,416,047 3,002,565 40,413,482 21,866,636 7,537,049 8,709,986 8.98 194,123.78 588.81 38.62 NA TSMC Technology, Inc. InveStar Semiconductor Development Fund, Inc. InveStar Semiconductor Development Fund, Inc. (II) LDC. 0.03 19,737 315,055 927,196 46,610 372,716 382,184 545,012 1,794,436 42,373 17,939 4,237 354,777 - 4,324 85,449 (414) 3,334 49,392 4,939,187.05 (414) 3,334 (0.69) 0.35 TSMC Development, Inc. 0.03 21,251,231 - 21,251,231 1,351,663 1,350,453 1,312,315 131,231,529.67 WaferTech, LLC TSMC Partners, Ltd. TSMC Design Technology Canada Inc. 57,755 174,523 32,509,084 50,906,685 21,989 21,957 50,884,696 2,020,628 2,014,240 2,009,702 152,566 228,643 20,786 18,908 - 7,462,469 749,503 6,712,966 8,492,242 1,862,971 1,241,489 TSMC Global Ltd. 173,817,180 253,701,143 50,275,420 203,425,723 24,243,711 22,601,932 22,522,263 4,262,351.07 Mutual-Pak Technology Co., Ltd. Emerging Alliance Fund, L.P. VentureTech Alliance Fund II, L.P. VentureTech Alliance Fund III, L.P. Growth Fund Limited VentureTech Alliance Holdings, LLC TSMC Solar Europe GmbH VisEra Holding Company 268,184 797,880 490,505 3,367,870 48,085 - 91,736 443,117 567,253 370,329 31,962 - 14,400 76,323 2,878,313 7,095,907 81,658 - 8,075 - 5,814 - 75,137 12,354 10,078 443,117 559,178 370,329 26,148 - 121,662 (14,516) (15,855) (0.59) 1,710 3,048 385,505 49,743 - (2,549) (5,380) (93,739) 30,617 - (2,575) (5,358) (93,739) 30,617 - NA NA NA NA NA 1,186 512,045 (35,166) (35,666) (44,582.60) 7,083,553 - 1,201,790 1,115,859 VisEra Technologies Company Ltd. 2,911,531 6,922,801 751,334 6,171,467 3,172,665 Chi Cherng Investment Co., Ltd. 366,000 394,672 308 394,364 - Note: Foreign exchange rates for balance sheet amounts are as follows: $1 USD = $32.895 NT, $1 EUR = $36.00 NT, $1 JPY = $0.2733 NT, $1 RMB = $5.07 NT, $1 KRW = $0.0280 NT, $1 CAD = $23.73 NT Foreign exchange rates for income statement amounts are as follows: $1 USD = $31.720 NT, $1 EUR = $35.47 NT, $1 JPY = $0.2632 NT, $1 RMB = $5.05 NT, $1 KRW = $0.0282 NT, $1 CAD = $24.97 NT 323,083 (88,668) 312,427 (93,651) 4.23 2.03 8.22 12.75 1.07 (2.56) 8.2 Status of TSMC Common Shares and ADRs Acquired, Disposed of, and Held by Subsidiaries: None. 8.3 Special Notes 8.3.1 Private Placement Securities in 2015 and as of the Date of this Annual Report: None. 8.3.2 Regulatory Authorities’ Legal Penalties to the Company or Its Employees, and the Company’s Resulting Punishment on Its Employees for Violations of Internal Control System Provisions, Principal Deficiencies, and the State of Any Efforts to Make Improvements in 2014 and as of the Date of this Annual Report In 2015, the Company complied with the Taiwan Company Law, Securities Trading Act and relevant environmental laws and regulations. TSMC will file an administrative lawsuit with the High Administrative Court to dispute a minor NT$40,000 fine issued by the labor authority for the alleged underpayment of employees’ overtime because of an inconsistency between the claimed overtime and actual hours worked by the employee in question. TSMC believes there is no basis for the fine. 8.3.3 Any Events in 2015 and as of the Date of this Annual Report that Had Significant Impacts on Shareholders’ Right or Security Prices as Stated in Item 3 Paragraph 2 of Article 36 of Securities and Exchange Law of Taiwan: None. 8.3.4 Other Necessary Supplement: None. 130 131 Contact Information Corporate Headquarters & Fab 12A 8, Li-Hsin Rd. 6, Hsinchu Science Park, Hsinchu 30078, Taiwan, R.O.C. Tel: +886-3-5636688 Fax: +886-3-5637000 R&D Center & Fab 12B 168, Park Ave. II, Hsinchu Science Park, Hsinchu 30075, Taiwan, R.O.C. Tel: +886-3-5636688 FAX: +886-3-6687827 Fab 2, Fab 5 121, Park Ave. 3, Hsinchu Science Park, Hsinchu 30077, Taiwan, R.O.C. Tel: +886-3-5636688 Fax: +886-3-5781546 Fab 3 9, Creation Rd. 1, Hsinchu Science Park, Hsinchu 30077, Taiwan, R.O.C. Tel: +886-3-5636688 Fax: +886-3-5781548 Fab 6 1, Nan-Ke North Rd., Tainan Science Park, Tainan 74144, Taiwan, R.O.C. Tel: +886-6-5056688 Fax: +886-6-5052057 Fab 8 25, Li-Hsin Rd., Hsinchu Science Park, Hsinchu 30078, Taiwan, R.O.C. Tel: +886-3-5636688 Fax: +886-3-5662051 Fab 14A 1-1, Nan-Ke North Rd., Tainan Science Park, Tainan 74144, Taiwan R.O.C. Tel: +886-6-5056688 Fax: +886-6-5051262 Fab 14B 17, Nan-Ke 9th Rd., Tainan Science Park, Tainan 74144, Taiwan, R.O.C. Tel: +886-6-5056688 Fax: +886-6-5055217 Fab 15 1, Keya Rd.6, Cental Taiwan Science Park, Taichung 42882, Taiwan, R.O.C. Tel: +886-4-27026688 Fax: +886-4-25607548 TSMC North America 2851 Junction Avenue, San Jose, CA 95134, U.S.A. Tel: +1-408-3828000 Fax: +1-408-3828008 TSMC Europe B.V. World Trade Center, Zuidplein 60, 1077 XV Amsterdam The Netherlands Tel: +31-20-3059900 Fax: +31-20-3059911 TSMC Japan Limited 21F, Queen’s Tower C, 2-3-5, Minatomirai, Nishi-ku, Yokohama Kanagawa, 2206221, Japan Tel: +81-45-6820670 Fax: +81-45-6820673 TSMC China Company Limited 4000, Wen Xiang Road, Songjiang, Shanghai, China Postcode: 201616 Tel: +86-21-57768000 Fax: +86-21-57762525 Copyright © 2015 by Taiwan Semiconductor Manufacturing Company, Ltd. All rights reserved. TSMC Korea Limited 15F, AnnJay Tower, 208, Teheran-ro, Gangnam-gu Seoul 06220, Korea Tel: +82-2-20511688 Fax: +82-2-20511669 TSMC Liaison Office in India 1st Floor, Pine Valley, Embassy Golf-Links Business Park Bangalore-560071, India Tel: +1-408-3827960 Fax: +1-408-3828008 TSMC Design Technology Canada Inc. 535 Legget Dr., Suite 600, Kanata, ON K2K 3B8, Canada Tel: +613-576-1990 Fax: +613-576-1999 TSMC Spokesperson Name: Lora Ho Title: Senior Vice President & CFO Tel: +886-3-5054602 Fax: +886-3-5637000 Email: cyhsu@tsmc.com TSMC Deputy Spokesperson/Corporate Communications Name: Elizabeth Sun Title: Senior Director, TSMC Corporate Communication Division Tel: +886-3-5682085 Fax: +886-3-5637000 Email: elizabeth_sun@tsmc.com Auditors Company: Deloitte & Touche Auditors: Yi-Hsin Kao, Hung-Wen Huang Address: 12F, 156, Sec. 3, Min-Sheng E. Rd., Taipei 10596, Taiwan, R.O.C. Tel: +886-2-25459988 Fax: +886-2-25459966 Website: http://www.deloitte.com.tw Common Share Transfer Agent and Registrar Company: The Transfer Agency Department of Chinatrust Commercial Bank Address: 5F, 83, Sec. 1, Chung-Ching S. Rd., Taipei 10008, Taiwan R.O.C. Tel: +886-2-66365566 Fax: +886-2-23116723 Website: http://www.ctbcbank.com ADR Depositary Bank Company: Citibank, N.A. Depositary Receipts Services Address: 388 Greenwich Street, New York, NY 10013, U.S.A. Website: http://www.citi.com/dr Tel: +1-877-2484237 (toll free) Tel: +1-781-5754555 (out of US) Fax: +1-201-3243284 E-mail: citibank@shareholders-online.com TSMC’s depositary receipts of the common shares are listed on New York Stock Exchange (NYSE) under the symbol TSM. The information relating to TSM is available at http://www.nyse.com and http://mops. twse.com.tw
Continue reading text version or see original annual report in PDF format above